多方向コミュニケーション (より重要)

目次

1. 概要(応用シナリオは多数あります)

2、例 


1. 概要(応用シナリオは多数あります)

  • 多方向通信サービスは依然として 2 つのコンポーネント間の通信であり、複数のコンポーネント間の通信ではなく、結局のところ、複数のコンポーネントの通信は 2 つのコンポーネントの基本的な通信方法によって構築できます。
  • 多方向通信とは、イニシエーターとターゲット間の同じ TLM ポートの数が 1 つを超える場合の処理​​ソリューションを指します。

 comp1 には 2 つの uvm_blocking_put_port があり、comp2 には 2 つの uvm_blocking_put_imp ポートがあります。ポートのインスタンス化には別の名前を付けることができ、接続にも別の名前でインデックスを付けることができますが、問題は 2 つのタスク put (itrans t) を comp2 に実装する必要があることと、ポートが異なるとポート側で専用のメソッドが必要になることです。これにより、メソッド名の競合が発生します。つまり、同じ名前の 2 つの put タスクを comp2 で定義できません。

UVM は、ポート マクロ宣言によってこの問題を解決します。その解決策の核心は、異なるポートを異なる名前のタスクに対応させることです。ポート名の命名方法に従って、UVM は多方向通信の問題を解決するためのマクロに分割されます。

注: _decl: 宣言文

      (SFX): サフィックス名を示します。

2、例 


`uvm_blocking_put_imp_decl(_p1)  //宏声明:定义第一个独一无二端口
`uvm_blocking_put_imp_decl(_p2)  //宏声明:第一第二个独一无二端口
class comp1 extends uvm_component;
	uvm_blocking_put_port #(itrans) bp_port1;
	uvm_blocking_put_port #(itrans) bp_port2;
	`uvm_component_utils(comp1)
	...
	task run_phase(uvm_phase phase);
		itrans itr1, itr2;
		int trans_num = 2;
		fork
			for(int i = 0; i < trans_num; i++) begin
				itr1 = new("itr1", this);
				itr1.id = i;
				itr1.data = 'h10 + i;
				this.bp_port1.put(itr1);
			end
			for(int j = 0; j < trans_num; j++) begin
				itr2 = new("itr2", this);
				itr2.id = i;
				itr2.data = 'h10 + i;
				this.bp_port2.put(itr2);
			end
		join
	endtask
endclass

class comp2 extends uvm_component;
	uvm_blocking_put_imp_p1 #(itrans, comp2) bt_imp_p1;
	uvm_blocking_put_imp_p2 #(itrans, comp2) bt_imp_p2;
	itrans itr_q[$];
	semaphore key;
	`uvm_component_utils(comp2)
	...
	task put_p1(itrans t);
		key.get();
		itr_q.push_back(t);
		`uvm_info("PUTP1", $sformatf("get otrans id: 'h%0x, data: 'h%0x", t.id, t.data), UVM_LOW)
		key.put();
	endtask
	task put_p2(itrans t);
		key.get();
		itr_q.push_back(t);
		`uvm_info("PUTP2", $sformatf("get otrans id: 'h%0x, data: 'h%0x", t.id, t.data), UVM_LOW)
		key.put();
	endtask
endclass

class env1 extends uvm_env;
	comp1 c1;
	comp2 c2;
	`uvm_component_utils(env1)
	...
	function void build_phase(uvm_phase phase);
		super.build_phase(phase);
		c1 = comp1::type_id::create("c1", this);
		c2 = comp2::type_id::create("c2", this);
	endfunction
	function void connect_phase(uvm_phase phase);
		super.connect_phase(phase);
		c1.bt_port1.connect(c2.bt_imp_p1);
		c1.bt_port2.connect(c2.bt_imp_p2);
	endfunction
endclass

おすすめ

転載: blog.csdn.net/Arvin_ing/article/details/127709841