双方向コミュニケーション

I. 概要

一方向通信と同様に、双方向通信の両端もイニシエーターとターゲットに分割されますが、データ フローはエンドツーエンド間で双方向です。双方向通信の両端は同時にプロデューサーとコンシューマーの役割を果たし、イニシエーターはリクエストのイニシエーターとして、リクエストを開始した後に応答が返されるのを待ちます。

UVM 双方向ポートは次のタイプに分類されます。

  • uvm_blocking_transport_PORT
  • uvm_nonblocking_transport_PORT
  • uvm_transport_PORT
  • uvm_blocking_master_PORT
  • uvm_nonblocking_master_PORT
  • uvm_master_PORT
  • uvm_blocking_slave_PORT
  • uvm_nonblocking_slave_PORT
  • uvm_slave_PORT
     

PORTは港、輸出を表します

2. 分類

 通信ハンドシェイク モードに従って、双方向ポートは次のように分類できます。

  • トランザクション双方向通信
  • マスターとスレーブ間の双方向通信

トランスポート ポートは、transport() メソッドを介して、同じメソッド呼び出しプロセス内で REQ と RSP の送信と返信を完了できます。

マスターとスレーブの通信モードはそれぞれ put、get、peek で呼び出す必要があり、ハンドシェイク通信は 2 つのメソッドを使用するだけで完了します。マスター ポートとスレーブ ポートの違いは、イニシエーターがマスターとして機能する場合、ターゲットに REQ を送信し、ターゲットから RSP を取得することです。イニシエーターがスレーブ ポートを使用する場合、最初に RSP を取得します。ターゲットからのREQを受信し、RSPをターゲットに送信し、ターゲット側に送信します。
 

3.輸送

ここに画像の説明を挿入

トランスポート双方向通信

class comp1 extends uvm_component;
	uvm_blocking_transport_port #(itrans, otrans) bt_port;
	`uvm_component_utils(comp1)
	...
	task run_phase(uvm_phase phase);
		itrans itr;
		otrans otr;
		int trans_num = 2;
		for(int i = 0; i < trans_num; i++) begin
			itr = new("itr", this);
			itr.id = i;
			itr.data = 'h10 + i;
			this.bt_port.transport(itr, otr);
			`uvm_info("TRSPT", $sformatf("put itrans id: 'h%0x, data: 'h%0x | get otrans id: 'h%0x, data: 'h%0x", itr.id, itr.data, otr.id, otr.data), UVM_LOW)
		end
	endtask
endclass
		
class comp2 extends uvm_component;
	uvm_blocking_transport_imp #(itrans, otrans, comp2) bt_imp;
	`uvm_component_utils(comp2)
	...
	task transport(itrans req, output otrans rsp);
		rsp = new("rsp", this);
		rsp.id = req.id;
		rsp.data = req.data << 8;
	endtask
endclass

class env1 extends uvm_env;
	comp1 c1;
	comp2 c2;
	`uvm_component_utils(env1)
	...
	function void build_phase(uvm_phase phase);
		super.build_phase(phase);
		c1 = comp1::type_id::create("c1", this);
		c2 = comp2::type_id::create("c2", this);
	endfunction
	function void connect_phase(uvm_phase phase);
		super.connect_phase(phase);
		c1.bt_port.connect(c2.bt_imp);
	endfunction
endclass

 双方向ポート処理は単方向ポートのインスタンス化と接続に似ていますが、対応する双方向送信タスクを実装する必要がある点が異なります。task transport(itrans req, output otrans rsp)

おすすめ

転載: blog.csdn.net/Arvin_ing/article/details/127709485