二进制与格雷码的转换

格雷码的特点:相邻的两个码组之间仅有一位不同。普通二进制码与格雷码之间可以相互转换。下面将作简要的介绍。


1.二进制码转换成格雷码比较简单,一步到位
gray=(bin>>1)^bin;

2.格雷码转换成二进制码稍显复杂,需要递推
说明:将格雷码最高位赋值给二进制码最高位,即bin[N]=gray[N],
然后bin[i-1]=gray[i-1]^bin[i],i=1:N-1

 
verilog 代码如下
module Gray_to_Binary (
  input       [N-1:0] G,
  output reg  [N-1:0] B
);
 
parameter N = B_bit_Gray; // 设置二进制格雷码的位宽
 
integer i;
 
always @ (G)
begin
  B[N-1] = G[N-1];
  for (i=1; i<=N-1; i="i"+1)
    B[i-1] = G[i-1] ^ B[i];
end
  
endmodule

猜你喜欢

转载自blog.csdn.net/xiangyuqxq/article/details/7312121