modelsim仿真MCB DDR3 IP核时的报错

版权声明:转载请注明出处:http://blog.csdn.net/lg2lh https://blog.csdn.net/lg2lh/article/details/51176015

问题描述:

ddr3_model_parameters_c3.vh这个文件总是编译不过去,有错误。

错误提示: Error: (vlog-2902) ddr2_model_parameters_c3.vh(214): A `define was found on the same line as a SystemVerilog `ifdef, `ifndef, `elsif, or `else.


可以在命令行中添加如下命令,忽略次错误!

-suppress 2902

例如,注意-suppress 2902的添加位置,其次参考ISE生成参考例程中sim.do文件的编写

vlog   +incdir+. +define+x2Gb +define+sg15E +define+x16 -suppress 2902  ddr3_model_c1.v


原因是Modelsim 10.1c以后的版本才引入2902这个error,,之前的版本这个只是个警告,Xilinx官方的解释解释把这个error这个错误忽略掉。利用-suppress 2902。注意如果你当前是Modelsim 10.1c以后版本,则一定要添加-suppress 2902,之前的就不要添加了。。。

猜你喜欢

转载自blog.csdn.net/lg2lh/article/details/51176015