中值滤波算法在fpga上的实现

    实时性的视频中值滤波硬件实现,使用shift_ram就够用,学过数字图像处理的都知道,无论是中值滤波还是均值滤波等等,一些基本的数字图像处理算法都是基于3*3的滤波矩阵实现的。
       在上一篇博客中我们搞清楚了如何使用shift_ram实现3*3的滤波矩阵,这篇博客我们讨论如何快速求的9个值的均值,下面介绍快速排序算法:

如图中所示,得到3*3矩阵的中值我们需要三个步骤:

(1)首先分别对每行的3个像素进行排序,verilog实现,由于并行特性只需要一个时钟就可以完成。
(2)上述代码实现了第一步的运算,接着对三行像素取得的排序进行处理,即提取三个最大值中的最小值,三个最小值中的最大值,以及三个中间值的中间值。直接例化 sort 模块。

例化三次求得每一行的max_data ,mid_data, mix_data ,然后再例化三次。

(3)如上代码所示,依次求得最大值中的最小值,最小值中的最大值,中间值中的中间值,然后再例化一次sort模块,求得这三个值中的中间值。最终求得3*3矩阵的中值。

即实现了求中值,从步骤1-3花费了3个clk,同步信号延迟三个clk。搞定

猜你喜欢

转载自blog.csdn.net/dongdongnihao_/article/details/80755769
今日推荐