sduwh-EDA电子设计自动化实验-作业3数据采集电路设计

该专栏包含sduwh的eda课程所有实验,仅供参考,订阅专栏获取全部

作业3数据采集电路设计

作业题目:

设计实现教材292页图10-27所示的数据采集电路,用状态机实现对ADC0809的采样控制,将ADC0809转换好的二进制数存储到RAM存储器中。图中RAM调用LP功能模块定制实现。

写出电路的完整verilogD工代码,并进行仿真测试,提交一份实验报告。

实验报告:

数据采集电路

代码:

代码不一定完全正确,仅供参考~ 顶层文件

module LAB3(D,CLK,EOC,RST,WE,ALE,OE,START,ADDA,DAC,ADDB,ADDC); 
input [7:0]D; 
input EOC,RST,CLK,WE; 
output ALE,OE,START,ADDA,ADDB,ADDC; 
output [7:0]DAC; 
wire [7:0]wiredata; 
wire wire1; 
wire wire2; 
wire[8:0]wireaddr; 
assign ADDB=1'b0; 

猜你喜欢

转载自blog.csdn.net/qq_22471349/article/details/129213092