数字电路_Q&A_2023

0、数字电路简介

数字电路是一门电子工程学科,主要研究数字信号的处理和控制。它是计算机工程、通信工程和电子工程等领域的重要基础学科。

数字电路将输入的数字信号(也称为逻辑信号)经过处理后输出新的数字信号。数字信号是一种离散的信号,由一个或多个二进制位组成,每一位只有两种可能的状态(0或1)。数字电路的设计、分析和实现都是基于数字逻辑。

数字电路的基本单元是逻辑门。逻辑门可以接受一个或多个输入信号,并根据特定的逻辑规则输出一个或多个输出信号。常见的逻辑门包括与门、或门、非门、异或门等等。这些逻辑门可以组合在一起形成更复杂的数字电路,例如加法器、多路选择器、寄存器、计数器等等。

数字电路的设计需要遵循特定的设计规则和方法。常用的数字电路设计方法包括Karnaugh图、布尔代数、状态机等等。数字电路的实现可以通过电路板、电路芯片或可编程逻辑器件(如FPGA)等方式实现。

数字电路在计算机、通信、控制、测量等领域都有广泛应用。例如,计算机的中央处理器(CPU)就是一个由数字电路构成的芯片,它能够执行计算机程序,控制计算机的各种设备。数字电路还可以用于数字信号处理、数字通信、控制系统、仪器测量等领域。

1、触发器基本原理?结构?D触发器?二分频?四种触发器的区别(SR、JK、T、D)?

画出D触发器的波形

D触发器适用于存储数据。因为D触发器有一个数据输入端(D),只有在时钟(CLK)的上升沿到来时,才会将数据输入端的值存储到触发器中,保持输出端(Q)的值不变。

SR触发器

SR触发器是一种常用的数字电路元件,适用于存储一个二进制比特。它由两个输入端 S (Set) 和 R (Reset),以及两个输出端 Q 和 Q’(Q 是 S 的补码,Q’ 是 R 的补码)组成。

下面是SR触发器的逻辑功能表:

在这里插入图片描述

其中,当 S 和 R 都为 0 时,输出不变;当 S 为 0,R 为 1 时,输出为 0;当 S 为 1,R 为 0 时,输出为 1;当 S 和 R 都为 1 时,输出不变。

在这里插入图片描述

J-K触发器

在这里插入图片描述

2、加法器?

3、按键的中断是电平触发还是边沿触发?两者有什么区别?按键消抖?

按键的中断可以是电平触发,也可以是边沿触发,具体取决于中断控制器的设计和配置。

电平触发是指当中断引脚的电平保持在一个特定电平时触发中断。例如,当按键被按下,引脚被拉低时触发中断,在按键松开之前中断会一直被触发。在这种情况下,如果按键一直被按下,中断会一直被触发,直到按键松开或者中断被清除。

边沿触发是指当中断引脚的电平发生特定的变化(上升沿或下降沿)时触发中断。例如,当按键被按下时,引脚从高电平变为低电平,产生下降沿触发中断,当按键松开时,引脚从低电平变为高电平,产生上升沿触发中断。在这种情况下,中断只会在按键状态发生变化时被触发一次,而不是一直被触发。

电平触发和边沿触发的主要区别在于中断触发的条件不同。电平触发是在引脚保持在特定电平时触发,而边沿触发是在引脚电平发生特定变化时触发。在应用中,电平触发通常用于长时间持续信号的检测,例如温度传感器,而边沿触发通常用于检测短时间的事件,例如按键按下和松开。

4、如何搭建 与非、或非、非门?

5、RAM?

RAM(Random Access Memory,随机存取存储器)是计算机系统中一种重要的存储器件,用于存储正在运行的程序和数据。与其他存储器不同,RAM 可以任意读写任何地址的数据,因此称为随机存取存储器。

RAM 通常是由许多小型存储单元组成,每个存储单元都可以存储一个比特位。每个存储单元都有一个唯一的地址,用于访问该存储单元。RAM 的存储单元通常被组织成一个二维数组,其中每一行都被称为一个地址,而每一列都被称为一个字。字是 RAM 的最小访问单位,通常为8位或16位。

RAM 可以分为静态 RAM(SRAM)和动态 RAM(DRAM)两种。

静态RAM

静态RAM 是一种基于触发器的存储器(通常使用锁存器作为存储元件),只要加上直流电源就可以保持存储信息的状态。它由多个触发器和选择电路组成,其中每个触发器存储一个比特位。由于它的存储单元比动态 RAM 更为复杂,因此静态 RAM 通常比动态 RAM 更快,也更昂贵。

动态RAM

动态RAM 由一个电容和一个开关器件组成,电容存储信息,开关器件控制电容中的电荷,以实现存储和读取数据。由于电容会慢慢放电,因此需要定期刷新以保持存储的数据不丢失。动态 RAM 存储单元简单,因此相对于静态 RAM 更为便宜,但也更慢。

当直流电源移走后,SRAM和DRAM都会丢失存储的数据,因此被归类为易失性存储器。

RAM 在计算机系统中扮演着至关重要的角色,它是计算机系统中的主要工作区域,存储着操作系统、应用程序和用户数据等信息。RAM 速度快,容量大,可以同时存储多个程序,因此对计算机系统的性能至关重要。

DRAM中的刷新操作

DRAM 控制器选择一个需要刷新的行(Row),并将该行的地址发送到 DRAM 芯片。

DRAM 芯片将该行对应的电容电压重新充电,以保持其中存储的数据。

DRAM 芯片向 DRAM 控制器发送刷新完成的信号。

6、ROM?

ROM(Read-Only Memory,只读存储器)是一种存储器件,用于存储计算机系统中的固定程序、数据和指令,可以永久地或者半永久地保存数据,其数据只能被读取,而不能被修改。ROM 主要由一个存储单元阵列和一个解码器组成。

ROM 通常有以下几种类型:

Mask ROM(掩模ROM)

Mask ROM 是制造商在制造过程中一次性烧写的,它的存储内容无法更改。通常用于存储计算机系统中的启动程序、引导程序等。由于 Mask ROM 存储内容不能被修改,因此只适用于那些存储内容不需要更改的场合。

Programmable ROM(可编程ROM)

Programmable ROM 由存储单元阵列和编程设备组成,它的存储内容可以被用户编程,但编程后就无法更改。Programmable ROM 通常用于存储一些相对固定的数据,如音频、视频数据等。

Erasable Programmable ROM(可擦除可编程ROM)

Erasable Programmable ROM(EPROM)是一种可编程ROM,但可以通过紫外线擦除器擦除其存储内容,重新编程。EPROM 通常用于存储一些不常更改的程序或数据,如基本输入输出系统(BIOS)等。

Electrically Erasable Programmable ROM(电可擦除可编程ROM)

Electrically Erasable Programmable ROM(EEPROM)与 EPROM 类似,但擦除时不需要使用紫外线擦除器,而是通过电信号擦除。EEPROM 通常用于存储一些需要经常修改的数据,如系统参数、配置信息等。

ROM 通常比 RAM 价格更便宜,但相对于 RAM,ROM 的读写速度较慢。ROM 的存储内容不易丢失,因此在计算机系统中用于存储一些固定的程序和数据。由于存储内容只能被读取,ROM 广泛应用于存储诸如操作系统、引导程序、固件等固定程序。

7、如何提高频率计的精度?

频率计是一种用于测量电子信号频率的仪器,精度的提高可以从以下几个方面考虑:

提高计数器的分辨率

计数器的分辨率越高,测量的频率精度越高。计数器的分辨率可以通过提高计数器的位数、降低计数器时钟信号的噪声等方式来实现。

降低测量系统的噪声

测量系统的噪声会对频率计的精度产生很大的影响。为了提高精度,可以采用低噪声的放大器、滤波器,以及降低电缆的电磁干扰等措施。

使用更高质量的参考源

频率计的精度很大程度上取决于参考信号源的稳定性和精度。因此,使用更高质量的参考源,如 GPS 或者石英晶体振荡器,可以显著提高频率计的精度。

对测量环境进行优化

测量环境的变化会影响频率计的精度,如温度、湿度等。因此,在测量时应尽可能保持环境稳定,同时对测量系统和测量环境进行有效的屏蔽和隔离。

采用校准方法

校准是提高频率计精度的重要方法之一。通过对频率计进行校准,可以对其进行修正和校正,使其更加准确地测量信号频率。校准可以采用内部校准或外部校准的方式进行。内部校准是通过仪器自身的参考源来进行校准,而外部校准则是使用高精度的参考源进行校准。

8、SPI串行外设接口?Serial Peripheral Interface

是种高速全双工同步的通信总线

至少包括SDI、SDO、SCLK、CS

SPI(Serial Peripheral Interface)是一种常用的串行外设接口,可以用于连接微控制器、存储器、传感器、LCD等设备。SPI接口使用主从结构,其中一个主设备可以控制多个从设备进行通信。

SPI接口的特点包括以下几点:

通信速率快:SPI接口可以支持高速串行数据传输,通常可以达到几十MHz的速率,因此适合于高速数据传输的应用场合。

数据线路简单:SPI接口只需要四根线(SCLK、MISO、MOSI、SS)即可实现通信,因此线路简单、成本低廉。

支持全双工通信:SPI接口可以实现全双工通信,即主设备和从设备可以同时发送和接收数据。

多从设备通信:SPI接口可以支持多从设备的通信,每个从设备都有一个片选线,可以通过片选线来选择需要通信的从设备。

SPI接口的通信过程如下:

主设备通过片选线选中要通信的从设备,并向从设备发送时钟信号(SCLK)。

主设备向从设备发送数据(MOSI),同时从设备也向主设备发送数据(MISO)。

数据传输完成后,主设备通过片选线禁止从设备,并结束通信。

SPI接口的应用非常广泛,可以用于连接各种外设,如存储器、传感器、LCD等。SPI接口在嵌入式系统中也得到了广泛的应用,是一种常用的通信方式。

9、用VHDL、Verilog HDL描述8位D触发器逻辑?

module d_ff_8(input [7:0] d, clk, rst, output reg [7:0] q);

  always @ (posedge clk) begin
    if (rst) begin
      q <= 8'b0; // 重置时,将输出清零
    end
    else begin
      q <= d; // 在时钟上升沿触发时,将输入值赋给输出
    end
  end

endmodule

10、描述反馈电路的概念,列举应用?

反馈电路是指将电路的一部分输出信号反馈到输入端口,以产生更加复杂的电路行为的电路结构。通过反馈电路,可以实现信号放大、信号滤波、振荡器、非线性逻辑等功能。

反馈电路的应用非常广泛,以下是一些常见的反馈电路应用:

放大器:反馈电路可以通过放大器的反馈来控制放大器的增益,从而实现放大器的放大效果更加稳定和准确。

滤波器:反馈电路可以通过滤波器的反馈来控制滤波器的频率响应,从而实现对特定频率的信号进行滤波。

振荡器:反馈电路可以通过振荡器的反馈来产生持续的振荡信号,实现正弦波、方波等各种波形的输出。

非线性逻辑:反馈电路可以通过非线性元件的反馈来产生逻辑电路,实现逻辑运算的功能,例如异或门、与非门、非门等。

11、同步电路和异步电路的概念?区别?

同步电路和异步电路都是数字电路中常见的电路类型,它们之间的主要区别在于时钟信号的使用。

同步电路使用时钟信号来同步各个电路模块的运行。时钟信号的作用是为电路提供稳定的时间基准,使得各个模块的操作可以按照同步的时序进行。同步电路中,所有的操作都在时钟信号的上升沿或下降沿发生,因此同步电路的时序关系比较明确,具有较高的稳定性和可靠性。常见的同步电路包括触发器、计数器、状态机等。

异步电路则不使用时钟信号来同步电路模块的运行。相反,异步电路使用不同模块之间的信号传递来实现协调。在异步电路中,各个模块的操作可能在不同的时间发生,因此时序关系相对不太清晰,具有较低的稳定性和可靠性。常见的异步电路包括异步计数器、锁存器、通信电路等。

12、放大电路的频率补偿的目的是什么?有哪些方法?

放大电路的频率补偿旨在保持放大电路的增益随着频率的变化尽可能不变,从而实现放大电路的平坦增益特性。因为不同频率的信号在传输过程中会因为信号线的阻抗不匹配、电容等因素而发生失真,导致放大电路的增益发生变化。为了避免这种情况,需要对放大电路进行频率补偿。

常见的放大电路的频率补偿方法包括:

电容补偿法:通过串联或并联电容来补偿放大电路的频率响应,使其保持平坦。电容补偿法简单易行,但需要注意电容的稳定性和对信号的影响。

电感补偿法:通过串联或并联电感来补偿放大电路的频率响应,使其保持平坦。电感补偿法可以更好地抵抗信号线的阻抗变化和电容的影响,但需要考虑电感对信号的耦合和干扰。

反馈补偿法:通过负反馈电路来补偿放大电路的频率响应,使其保持平坦。反馈补偿法具有稳定性好、可靠性高等优点,但需要考虑反馈电路的带宽和稳定性。

多级放大器法:将多个放大电路级联,每个级别的频率响应相互补偿,以达到平坦的频率响应。多级放大器法需要考虑级数的影响和对信号的影响。

13、有源滤波器和无源滤波器的区别?

有源滤波器和无源滤波器是两种常见的滤波器类型,它们的主要区别在于是否需要使用有源器件,例如运放等。

无源滤波器只包含被动元件,例如电阻、电容、电感等,没有使用任何放大器或其它有源器件。由于没有使用有源器件,因此无源滤波器的放大倍数很低,不适合需要较高增益的应用,但是它具有构造简单、成本低廉等优点。

有源滤波器则需要使用有源器件,例如运放等。有源器件的放大倍数可以很高,因此有源滤波器可以在不失真的情况下获得较高的增益。此外,有源滤波器还可以根据具体需求设计出不同类型的滤波器,例如低通、高通、带通、带阻等。

14、名词解释:SRAM、SDRAM、压控振荡器(VCO)

SRAM(Static Random Access Memory):是一种静态随机存取存储器,具有快速访问速度、高稳定性等优点。它的数据存储在闪存单元中,每个存储单元由六个晶体管组成,因此在不断刷新存储单元的情况下,数据可以一直保持在存储器中。SRAM常用于高速缓存、处理器寄存器等应用。

SDRAM(Synchronous Dynamic Random Access Memory):是一种同步动态随机存取存储器,与SRAM不同,它采用了动态刷新技术,可以更高效地存储数据。此外,SDRAM还具有高存储密度、低功耗等优点,因此广泛应用于计算机内存等领域。SDRAM通常是模块化的,它由安装在印刷电路板(PCB)上的多个存储器组成。

压控振荡器(Voltage-Controlled Oscillator,VCO):是一种可以通过调节输入电压来改变输出频率的振荡器。VCO通常由晶体振荡器和电压控制电路组成,电压控制电路可以调节振荡器的输出频率。VCO在通信、雷达、卫星导航等领域中应用广泛。

15、SRAM的地址线和传输线计算

SRAM(静态随机存取存储器)的地址线和数据传输线是非常重要的电路元件。SRAM是一种随机存储器,用于存储数据和程序,其工作原理是通过地址线和数据传输线与CPU(中央处理器)进行通信。以下是对SRAM的地址线和传输线进行详细描述:

地址线:

SRAM的地址线是指用于传输地址信息的信号线路。地址线通常是由CPU生成,并在SRAM芯片的输入端口上进行传输。地址线的长度和宽度决定了SRAM的寻址能力和存储容量。SRAM芯片的地址线通常是由几个输入端口(例如8位或16位)组成,取决于SRAM的寻址能力和存储容量。通过地址线,CPU可以访问SRAM中的任何存储单元。

数据传输线:

SRAM的数据传输线是指用于传输数据信息的信号线路。数据传输线通常由CPU生成,并在SRAM芯片的输入/输出端口上进行传输。数据传输线的长度和宽度决定了SRAM的数据传输速率和存储容量。SRAM芯片的数据传输线通常是由几个输入/输出端口(例如8位或16位)组成,取决于SRAM的数据传输速率和存储容量。通过数据传输线,CPU可以读取或写入SRAM中的任何存储单元。

16、JTAG各信号线是什么意义?

JTAG(Joint Test Action Group)是一种用于测试、配置和调试电子设备的标准化接口。JTAG接口有四个信号线:TCK、TMS、TDI、TDO。

TCK(Test Clock):测试时钟信号,由测试设备控制,用于控制测试数据传输的速率。

TMS(Test Mode Select):测试模式选择信号,用于控制JTAG状态机的状态转换。

TDI(Test Data Input):测试数据输入信号,用于将测试数据输入被测试设备。

TDO(Test Data Output):测试数据输出信号,用于将被测试设备的响应数据输出到测试设备。

JTAG接口可以通过这四个信号线来实现设备的诊断、测试、编程和调试等功能。JTAG接口的广泛应用包括数字电路板级测试、芯片级测试、系统级测试、嵌入式调试等。

17、IIC总线?CAN总线?BUS总线?

总线的常用参数:

  • 宽度:总线一次可以传输的位的个数;
  • 频率:一条总线可以运行的时钟频率;
  • 传送速度:每个时钟周期的字节数;
  • 带宽:每个时钟周期的字节数乘以每秒的时钟树;也就是传送速度和频率。有时将总线宽度称为吞吐量。

IIC总线(Inter-Integrated Circuit Bus)是由Philips公司推出的一种串行通信总线,通常用于连接微控制器、传感器、存储器等设备。IIC总线只需要两根传输线,具有简单、可靠、成本低等优点。

CAN总线(Controller Area Network)是一种在工业控制领域广泛应用的串行通信总线。CAN总线通常用于连接不同的控制设备和传感器,实现数据的传输和交换。CAN总线具有高可靠性、高抗干扰性、支持多设备连接等优点。

BUS总线(Computer Bus)是指在计算机内部或者计算机系统之间传输数据的总线。BUS总线可以连接不同类型的设备,例如CPU、内存、输入输出设备等,实现数据的交换和传输。BUS总线的种类很多,例如PCI总线、USB总线、ISA总线等。每种BUS总线都有其特定的标准和协议。

18、驱动蜂鸣器的三极管工作在哪个区?如果用作反相器呢?

驱动蜂鸣器的三极管一般工作在放大区,因为蜂鸣器需要较大的电流来发出声音,放大区可以提供较大的电流输出。

如果将三极管用作反相器,那么它需要在饱和区和截止区之间工作。在饱和区,三极管的发射极与集电极之间的电压很小,而且三极管的饱和电流很大,这意味着可以通过三极管的发射极向外输出一个低电平。在截止区,三极管的发射极与集电极之间的电压很大,而且三极管的电流很小,这意味着可以通过三极管的发射极向外输出一个高电平。

19、SDRAM和FLASH的区别?程序加载在哪里运行?为什么?

SDRAM和FLASH是两种不同的存储器设备,它们的主要区别在于其内部存储数据的方式以及访问速度等方面。

SDRAM(Synchronous Dynamic Random Access Memory)是一种随机访问存储器,它使用同步时钟来进行数据传输和操作。它具有高速读写、容量大、功耗低等优点,通常用于计算机内存等场合。

FLASH是一种非易失性存储器,它使用电子擦除技术来存储和擦除数据。它具有高密度、低功耗、可擦写、可重写等优点,通常用于存储程序代码、固件、操作系统等。

程序一般加载在Flash中,然后在运行时从Flash中读取到SDRAM中执行。这是因为SDRAM具有高速读写和大容量的优点,能够更快地访问和执行程序,而Flash则主要用于存储程序代码等数据,不适合频繁读写,因此将程序加载到SDRAM中运行可以提高系统的性能和效率。同时,SDRAM还可以作为CPU和外部设备之间的数据缓存,提高数据传输速度和效率。

20、摩尔状态机和米利状态机的区别?

摩尔状态机和米利状态机是两种常见的状态机模型,它们的区别主要在于状态的转移方式。

摩尔状态机(Moore state machine)是一种基于状态的状态机,状态转移只与当前状态有关,与输入无关。Moore状态机由能够确定时序的组合逻辑和存储器(触发器)组成。在摩尔状态机中,输出是与状态相关的,即同一状态下输出是固定的,输入只用于状态的转移。摩尔状态机的状态转移可以用状态转移图或状态转移表表示。

Moore状态机

相对于摩尔状态机,米利状态机(Mealy state machine)是一种基于状态和输入的状态机,状态转移不仅与当前状态相关,还与输入相关。在米利状态机中,输出不仅与状态相关,还与输入相关,即输出可以根据状态和输入计算得出。米利状态机的状态转移同样可以用状态转移图或状态转移表表示。

Mealy状态机

总的来说,摩尔状态机更注重输出的时序控制,输出仅仅与当前状态有关,不受输入的影响;而米利状态机更注重输出的逻辑控制,输出除了与状态相关,还与输入相关。因此,根据具体的应用场景和需求,可以选择摩尔状态机或米利状态机。

有限状态机的特点

21、基于理想运算放大器的反相比例放大电路的计算

22、异步通信方式?

握手、异步FIFO、双口RAM

异步通信是一种串行通信方式,数据传输不需要外部时钟信号来同步,而是采用固定的起始位、数据位、校验位和停止位的组合来表示一个完整的数据帧。

异步通信方式主要包括以下几种:

RS-232:是一种常见的异步通信标准,用于连接计算机和外部设备。RS-232通信使用单向传输,即发送和接收数据需要不同的通道。

RS-485:是一种多点异步通信标准,支持多个设备在同一总线上进行通信。RS-485通信采用双向传输,即可以同时发送和接收数据。

MIDI:是一种音频异步通信标准,用于音乐设备之间的通信。MIDI通信采用三线制,分别为数据线、地线和电源线。

USB:是一种同步和异步通信标准,用于连接计算机和各种外设,支持高速数据传输和电源供应。

异步通信方式简单、灵活、易于实现,但由于没有外部时钟信号同步,所以传输速度相对较慢,通信距离也受到限制。

23、二进制、八进制、十六进制相互转换

八进制转二进制:用相应的3位二进制数替换每个八进制数位就可以了

十六进制数字系统由数字0~9和字母A ~ F组成

24、IC设计中同步复位与异步复位的区别?

在 IC 设计中,复位(reset)是一种将系统状态恢复到其初始状态的机制。复位可以是同步的或异步的,具体区别如下:

同步复位:同步复位是在时钟边沿处进行的,只有在时钟周期中的特定时间才能产生复位脉冲。这意味着同步复位将保证复位脉冲与时钟信号的相位一致,从而防止可能的状态错误。同步复位需要占用额外的时钟周期,并且需要保证时钟信号的稳定性。

异步复位:异步复位是在任何时刻都可以进行的,不需要时钟信号,但需要一个外部触发器来产生复位信号。异步复位可以立即将系统状态恢复到其初始状态,但容易出现状态错误。异步复位通常需要更多的电路资源,并且在复位信号边沿的稳定性方面存在一定的风险。

25、D触发器和D锁存器的区别?锁存器、寄存器、触发器三者的区别?

D触发器和D锁存器的区别:
D触发器是一种时序电路,具有时序的特性,能够存储1位数据,常用于寄存器、计数器等电路中,通过时钟信号的变化实现数据的同步传输,D触发器的输出状态只受到时钟和数据输入状态的影响,与之前的状态无关。
D锁存器也能够存储1位数据,但是它没有时序的特性,仅仅是把数据暂存下来,输出状态与时钟信号无关,只与数据输入状态有关。

锁存器、寄存器、触发器三者的区别:
锁存器(Latches):在时钟信号为高电平时,将输入数据锁存到输出端,不需要时钟信号的变化,所以称为非时序电路,可以看成是一个简单的存储器,只能存储1位数据。
寄存器(Registers):是一种多位锁存器,通常由多个锁存器级联组成,用于存储多位数据,常用于暂存器、移位寄存器等电路中。
触发器(Flip-Flops):是一种时序电路,与锁存器类似,但需要时钟信号的变化,用于存储1位数据,能够实现数据的同步传输和时序控制,常用于计数器、状态机等电路中。D触发器、JK触发器、T触发器等都是常见的触发器。

26、latch和flip-flop的异同?

Latch和Flip-Flop是数字电路中常用的存储元件,它们的作用是在时钟的控制下,存储并输出数据。它们的异同如下:

异同点:

作用不同:Latch用于数据保持,Flip-Flop用于数据同步。
原理不同:Latch基于电路开关的闭合,Flip-Flop基于时钟脉冲的上升沿或下降沿触发。
输出不同:Latch输出数据的值会受到数据输入信号的持续状态的影响,Flip-Flop输出数据的值只与时钟信号的上升沿或下降沿有关。
稳定性不同:由于Latch的输出值受输入信号的持续状态影响,因此容易产生噪声和毛刺。Flip-Flop的输出更加稳定。

Latch、Flip-Flop与寄存器的区别:

Latch和Flip-Flop只有一个数据输入端和一个数据输出端,用于单一数据的暂存或同步输出。寄存器通常有多个数据输入端和输出端,可存储多个数据。
Latch和Flip-Flop不需要时钟信号,输入数据即可被存储或输出。寄存器需要时钟信号,数据输入和输出都在时钟信号的边沿或电平变化时发生。
Latch和Flip-Flop只能存储单个数据,寄存器可存储多个数据,并可实现移位操作。

Latch、Flip-Flop与触发器的区别:

Latch和Flip-Flop是触发器的两种特殊形式,Latch是动态触发器,Flip-Flop是静态触发器。
Latch和Flip-Flop的输入信号可以是电平或边沿,而触发器只接受边沿触发信号。
Latch和Flip-Flop的输出与输入信号之间可能存在延迟,而触发器的输出与输入信号之间没有延迟。

27、写出D触发器的verilog module

module d_ff (input clk, input reset, input D, output reg Q);
    always @(posedge clk, posedge reset)
    begin
        if (reset)
            Q <= 1'b0;
        else
            Q <= D;
    end
endmodule

这个模块包含一个时钟信号 clk,一个复位信号 reset,一个数据输入信号 D,以及一个数据输出信号 Q。在时钟的上升沿,如果复位信号 reset 是高电平,则数据输出信号 Q 被强制置为低电平;否则,数据输出信号 Q 被赋值为数据输入信号 D。

28、用D触发器实现二倍分频的verilog描述?

module divide_by_two(clk, rst, out);
  input clk, rst;
  output reg out;
  reg q;
  
  always @(posedge clk or negedge rst) begin
    if (~rst) begin
      q <= 0;
      out <= 0;
    end else begin
      q <= ~q;
      out <= q;
    end
  end
endmodule

该模块包含一个时钟输入 clk、异步复位输入 rst 和一个输出 out。它通过在时钟的每个上升沿翻转一个 D 触发器的输出来实现二倍分频。复位输入 rst 用于将输出复位为零。

29、用verilog编写代码实现十进制计数器

module decimal_counter(
    input clk, // 时钟信号
    input reset, // 复位信号
    output reg [3:0] count // 输出计数值,范围为0~9
);

always @(posedge clk, posedge reset) begin
    if (reset) begin
        count <= 0; // 复位计数器
    end else begin
        if (count == 9) begin
            count <= 0; // 计数值达到9时清零
        end else begin
            count <= count + 1; // 计数器加1
        end
    end
end

endmodule

该代码实现了一个4位的十进制计数器,每当时钟信号上升沿到来时,计数器的值会自动加1,当计数值达到9时会自动清零。同时,如果复位信号为高电平时,计数器的值也会被清零。计数器的值被输出到一个4位的寄存器中,可以通过该寄存器的输出引脚进行观测和使用。

30、DRAM和SRAM的区别?哪个功耗低?

DRAM(动态随机存取存储器)和SRAM(静态随机存取存储器)是两种常见的计算机内存类型。它们之间的主要区别在于内部存储单元的结构和工作原理,以及功耗和性能方面的差异。以下是DRAM和SRAM之间的主要区别:

存储单元结构和工作原理:

DRAM和SRAM之间最显著的区别是其内部存储单元的结构和工作原理。SRAM使用Flip-Flop存储单元,每个存储单元由几个晶体管组成,存储一个比特数据。SRAM的存储单元可以保持数据的稳定性,即使没有电源。DRAM使用电容存储单元,每个存储单元由一个晶体管和一个电容器组成,存储一个比特数据。DRAM的存储单元需要经常刷新电容,否则数据会丢失。这就是为什么DRAM需要周期性地进行刷新,而SRAM不需要。

功耗:

在功耗方面,SRAM比DRAM要高。这是因为SRAM的存储单元使用的是Flip-Flop器件,需要持续地消耗功率来保持数据稳定,而DRAM的存储单元使用的是电容器,只需要在刷新时消耗功率。因此,DRAM比SRAM在功耗方面更加节能。

性能:

在性能方面,SRAM比DRAM更快。SRAM的存储单元使用的是Flip-Flop器件,可以快速地进行读写操作。而DRAM的存储单元使用的是电容器,需要时间来进行充放电操作,因此读写操作相对较慢。

综上所述,SRAM比DRAM功耗更高,但速度更快,而DRAM功耗更低,但速度较慢。电脑中常用的内存是DRAM,因为它的成本更低,能够提供更高的存储容量。但是,SRAM在一些应用中也会被使用,例如高速缓存和寄存器文件等需要快速读写的场合。

31、最小集成单元

最小集成单元通常是指数字电路中最基本的电路单元,其主要作用是将输入信号转换成输出信号,并具有一定的逻辑功能。最小集成单元通常包括以下几个部分:

逻辑门:逻辑门是实现基本的逻辑运算的电路单元,常见的逻辑门有与门、或门、非门等,其输入和输出都是逻辑信号(0或1)。

触发器:触发器是一种存储电路单元,能够存储一个二进制位的状态,并在时钟信号的控制下将状态切换为另一个状态。触发器通常用于存储寄存器或存储器中。

加法器:加法器是一种能够实现数字加法运算的电路单元,通常用于实现数据累加或数字信号的调制解调等应用。

多路选择器:多路选择器是一种能够选择不同输入信号的电路单元,通常用于多路数据切换和多路控制器中。

32、叙述机电一体化系统的组成结构

机电一体化系统是一种将机械部件和电子控制系统结合在一起的智能化系统,由以下几个部分组成:

机械结构:包括各种机械零件和组件,如传感器、执行器、驱动电机、齿轮、轴、连杆、滑块等。机械结构是机电一体化系统的物理基础,通过其进行运动和力学操作。

传感器:用于测量机械系统的运动、位置、速度、压力、温度等各种物理量,并将测量结果转换为电信号传输到控制系统中。

控制器:控制器是机电一体化系统的核心部分,它通过接收传感器传来的数据,计算出机械系统的状态并产生相应的控制信号,控制机械系统的运动和操作。控制器一般由微处理器、程序和接口电路等组成。

执行器:执行器是由电气或液压方式控制机械系统的部件,如电动机、伺服马达、气缸等。它们接收控制器产生的控制信号,将电信号或液压信号转换为机械运动或操作。

电源和电缆:机电一体化系统需要一个稳定的电源供电,并使用电缆将信号和电力传输到各个组件中。

软件系统:机电一体化系统还需要一个专门的软件系统,用于编写控制程序和管理机械系统的各种运动和操作。

33、占空比

周期数字波形的一个重要特征就是它的占空比,它是脉冲宽度和周期的比值

34、通用串行总线USB

通用串行总线(Universal Serial Bus,简称 USB)是一种用于计算机与外部设备之间传输数据的标准接口。它是一种串行总线标准,由英特尔、微软、康柏、NEC等公司于1995年联合开发。

USB接口有多种规格,包括USB 1.0、USB 1.1、USB 2.0、USB 3.0、USB 3.1等。其中,USB 3.0和USB 3.1是最新的规格,速度更快,兼容性更强。

USB接口的优点包括:

可以连接多种设备,如键盘、鼠标、打印机、存储设备、相机、手机等。

支持热插拔,不需要重新启动计算机或设备。

传输速度快,支持高速传输和大容量存储。

支持充电和供电,可以给设备供电或从设备中获得电力。

具有广泛的兼容性,几乎所有的操作系统都支持USB接口。

USB接口的工作原理是,计算机通过主控制器向USB总线发送控制信号和数据,USB设备通过接收信号和数据来执行相应的操作。USB总线是一种分时多路复用技术,可以同时传输多个数据流。

通常,计算机以并行方式处理数据,以串行方式将其传输到外部系统。

35、什么是集成电路IC?

DIP—双列直插封装
SMT—表面贴装技术
SOIC—小轮廓集成电路
SSI—小规模集成
MSI—中规模集成
LSI—大规模集成
VLSI—甚大规模集成
ULSI—超大规模集成

36、数字数据与模拟数据相比的优点是什么?

数字数据和模拟数据是两种不同类型的数据信号。数字数据是用二进制代码表示的离散信号,而模拟数据是用连续的波形信号表示的。

相比之下,数字数据具有以下优点:

抗干扰性能好:数字数据信号只有两种状态,即0和1,因此对于外界的噪声和干扰具有很强的抗干扰性能。而模拟数据信号容易受到噪声和干扰的影响,导致信号质量下降。

容易存储和处理:数字数据可以使用计算机、存储器等数字设备进行存储和处理,便于数据的长期保存和分析。而模拟数据需要使用模拟设备进行处理和存储,操作复杂,存储和分析不如数字数据方便。

传输距离远:数字信号的传输距离较远,可以通过中继器和光纤等设备进行传输,而模拟信号传输距离有限,容易出现衰减和失真等问题。

精度高:数字信号的精度和分辨率可以非常高,因为数字信号可以使用无限多的二进制数来表示,而模拟信号受到器件限制,精度和分辨率有限。

易于加密:数字信号可以进行加密,保障信息的安全性,而模拟信号的保密性较差,容易被窃听和破解。

37、求二进制数的补码

反码加1就可以得到补码

改变最低有效1左边的全部位求得补码

带符号数

符号位0表示正数,符号位1表示负数。

计算机在所有的算数运算中都使用补码来表示负整数。原因是减去某个数和加上这个数的补码是一样的。

38、浮点数

浮点数由两部分组成再加上一个符号。

尾数(mantissa)是浮点数中用以表示数字数值的部分,大小在0和1之间。

指数(exponent)是浮点数中用以表示小数点要移动的位数的部分。

39、8421 BCD码

二-十进制编码,即每一个十进制数,都由4位二进制编码表示。

未使用的编码组合在8421码中是无效的

进行BCD码运算的一个替换方法是把它们转换成十进制数,进行加法运算,然后把运算结果转换回BCD码

40、格雷码

格雷码是无权码,不是算术编码,没有赋予不同位的特定的权

重要特征是:从一个码字到下一个续接码字仅有一位发生了变化,这一特征减小了出错概率

二进制数到格雷码的转换:
最高有效位相同;从左到右,每一对相邻的二进制编码相加,得到下一个格雷码位。舍去进位。

格雷码到二进制数的转换:
最高有效位相同。将所产生的每个二进制编码位加上下一相邻位置的格雷码位。舍去进位。

41、循环冗余校验码CRC

广泛用于检测一位或两位错误

42、传输延迟时间

此参数是在逻辑电路能够工作的最大开关速度或频率下得到的。对于逻辑电路,低速和高速是相对于传输延迟时间而言的。传输延迟时间越短,电路的开关速度就越快,工作频率也越高。

43、德·摩根定理

德·摩根第一定理:
变量乘积的反码等于变量反码的或。
另一种表述方式是:
两个或多个变量进行的运算之后的反码等于单个变量的反码再进行或运算。

德·摩根第二定理:
变量之和的反码等于变量反码的乘积。
另一种表述方式是:
对两个以上变量进行或运算之后德反码等于单个变量的反码再进行与运算的结果。

44、布尔表达式的标准形式

乘积项之和SOP:
可以由一个或门及两个或者更多的与门实现

最小项(标准乘积项)之和:

和项之乘积POS:

最大项(标准和项)之乘积:

45、卡诺图 Karnaugh map

卡诺图用于化简布尔表达式。卡诺图中的小方格按一定方式布置,使得相邻的小方格之间只有一个变量发生变化。在使用卡诺图之前,布尔表达式必须处于标准形式。

”无关“项的小方格处放置一个X,对1分组时,可以将X当成1以获得更大的组,如果方便也可以当成0.组越大,那么结果得到的乘积项也越简单。

46、组合逻辑

组合逻辑电路特点:
输入输出之间无反馈延迟通道;电路中无记忆单元。

47、半加器 half adder

功能:输入为两个二进制数,实现两位相加,输出产生两个二进制数,一个和及一个输出进位。

异或门是由与门、或门和反相器实现的。

48、全加器

全加器有两个输入位和一个输入进位,产生一个和输出及一个输出进位。

通过级联,加法器可以扩展到更多的位。

49、异步进位加法器、超前进位加法器

在一个并行加法器中,处理进位的方法。

50、高速缓冲存储器 Cache

高速缓冲存储器cache是介于中央处理器(CPU)和低速主存储器之间的高速存储器。CPU通过发送数据在存储器中的地址来获取数据。地址的一部分称为标识符(tag)。标识符地址比较器将CPU中的标识符与高速缓冲存储器目录中的标识符进行比较。如果二者是一致的,那么这个地址数据已经在高速缓冲存储器中,可以很快地获取。如果不一致,那么数据必须从主存储器中以慢得多的速度获取。

51、S-R锁存器

置位-复位锁存器

锁存器是一种双稳态逻辑元件或者多谐振荡器(multivibrator)。高电平有效输入S-R锁存器由两个交叉耦联的或非门组成;低电平有效输入的由两个交叉耦联的与非门组成。

每个门的输出都连接到另一个门的输入上,这样就产生了正反馈,这是所有锁存器和除法器的特征。

置位的意思是Q输出为高电平;复位的意思是Q输出为低电平。

当低电平同时加在输入上,Q和Q~输出就被迫为高电平,违反了输出互为反相的运算结果。

如果低电平同时被释放,两个输出将趋向于变为低电平。由于门的传输延迟时间总会有一些小差别,其中有一个门在转换中占据优势,它的1输出变为0.反过来就会迫使传输较慢的门的输出保持为高电平。这种情况下就不能准确地预知锁存器的下一个状态。

门控D锁存器

当EN为高电平时,输出Q跟随输入D

52、边沿触发器 edge-triggered flip-flop

只有在时钟的状态转换瞬间才对它的输入做出响应。

边沿触发的J-K触发器:当J为高电平、K为低电平时,在时钟脉冲的触发边沿到来时,触发器的输出改变状态。称为切换模式。

D触发器和门控D锁存器不同之处,就是D触发器带有一个脉冲转换检测器。

J-K触发器的输入为同步输入,因为数据仅在时钟脉冲的触发边沿到来时,输入才传送到输出。
四个状态:没有变化、复位、置位、切换

53、建立时间、保持时间

建立时间是输入先于时钟脉冲的触发边沿到来所需的最小时间间隔。在此时间里输入的逻辑电平保持不变,就可以使得输入电平可靠地按时序进入触发器。

保持时间是在时钟脉冲的触发边沿到来之后,输入上的逻辑电平需要保持的最小时间间隔,以使得输入电平可靠地按时序进入触发器。

54、555定时器

它可以采用两种不同的模式来配置,要么是单稳态多谐振荡器,要么是非稳态多谐振荡器。

55、寄存器

寄存器是一个具有两种基本功能----数据存储和数据移动的数字电路。

移位寄存器的存储容量由什么确定? 级数,每一级都表示存储容量中的一位。

eg:当D上出现1时,在时钟CLK的触发边沿到来时Q变为1,如果已经在置位状态,则保持为1

56、同步计数器和异步计数器的区别是什么?

它们的区别主要在于计数器的时钟信号的使用方式不同。

同步计数器的时钟信号是通过一个单独的时钟输入进行控制的,计数器的输出值在每个时钟脉冲上都会被更新。在同步计数器中,计数器的输出值与时钟信号之间存在同步关系,因此可以确保计数器的输出值与时钟脉冲的边沿之间的时间间隔保持一致,从而避免了由于时钟信号的变化导致的计数器输出值错误的情况。

异步计数器的时钟信号则是通过计数器的输出信号自身来控制的,当计数器的输出值达到某个预设的值时,会触发一个异步信号来重置计数器。在异步计数器中,计数器的输出值与时钟信号之间没有同步关系,因此需要使用额外的逻辑电路来确保计数器的输出值在重置时的状态正确。

同步计数器比异步计数器更为稳定和可靠,但相应的成本也更高。而异步计数器则相对简单和便宜,但在设计和使用时需要格外注意输出值的正确性。

57、传统数字电路设计的基本方法

组合电路设计:
问题—》逻辑关系—》真值表—》化简—》逻辑图

时序逻辑电路:
列出原始状态转移图和表—》状态优化—》状态分配—》触发器选型—》求解方程式—》逻辑图

58、闪存 flash memory

闪存是高密度的非易失性读/写存储器(高密度意味着较大的位存储容量),也就是说数据可以在没有电源的情况下永远的存储下来。高密度的意思是芯片上给定的表面区域内可以容纳大量的单元。闪存中使用的是单浮栅MOS晶体管组成的存储单元。

闪存比SRAM具有更高的密度。

闪存呈现出比DRAM更高的密度,通常比等价的DRAM耗费更少的电量。

59、采样定理

为了表示一个模拟信号,采样频率必须至少是模拟信号最高频率的两倍。

最高模拟频率不能高于采样频率的一半。

“弹跳球”

如果模拟信号中的任何谐波频率超过了奈奎斯特频率,就会出现不想得到的结果,称之为发生假信号混叠。

60、量化 quantization

将模拟值转换为二进制数的过程称为量化,在量化过程中,ADC把模拟信号的每个采样值都转换为一个二进制数。用来表示采样值的位数越多,表示的精度就越高。

61、运算放大器 op-amp

运算放大器(operational amplifier)是一种线性放大器,有两个输入(反相和同相)和一个输出。运算放大器有一个很高的电压增益和很大的输入阻抗,以及很低的输出阻抗。

62、模-数转换最快的方法是什么样的?

模数转换是将模拟信号转换为数字信号的过程。对于单片机等嵌入式系统来说,模数转换速度往往是很关键的性能指标之一,因为它直接影响到系统的响应速度和采样频率。

最快的模数转换方法通常是使用高速模数转换器(High-Speed ADC)。高速模数转换器使用了一系列技术来实现高速、高精度的模数转换,包括:

并行转换:高速模数转换器可以同时转换多个通道的信号,从而实现高效的采样和转换。

硬件加速:高速模数转换器内部使用硬件电路来执行数字信号处理,从而实现更快的转换速度。

内部储存器:高速模数转换器内部储存器的容量和速度都非常高,可以实现高效的数据传输和处理。

快速算法:高速模数转换器使用了一系列优化算法来实现高速、高精度的转换,如功耗补偿、双重取样等。

总体而言,高速模数转换器是一种专门为高速、高精度应用而设计的转换器,它的转换速度可以达到几 GHz 的级别,因此是目前最快的模数转换方法之一。

63、数模转换器DAC的性能特征

DAC的性能特征包括分辨率、精度、线性度、单调性及建立时间,对每种特征进行讨论

分辨率:DAC的分辨率是指它能够输出的模拟信号的精度,通常用比特数(bit)表示。例如,一个12位DAC的分辨率为 $2^{12} = 4096$,即它能够将数字信号转换为一个4096级的模拟信号。分辨率越高,DAC输出的模拟信号越接近于真实的模拟信号,因此分辨率是衡量DAC性能的重要指标之一。

精度:DAC的精度是指它输出的模拟信号与真实模拟信号之间的差异程度,通常用百分比(%)表示。例如,一个精度为1%的DAC输出的模拟信号与真实模拟信号之间的差异不超过1%。精度越高,DAC输出的模拟信号越接近于真实的模拟信号,因此精度也是衡量DAC性能的重要指标之一。

线性度:DAC的线性度是指它输出的模拟信号与数字信号之间的线性关系程度,通常用百分比(%)表示。例如,一个线性度为1%的DAC输出的模拟信号与数字信号之间的线性关系误差不超过1%。线性度越高,DAC输出的模拟信号与数字信号之间的线性关系越好,因此线性度也是衡量DAC性能的重要指标之一。

单调性:DAC的单调性是指它输出的模拟信号随着数字信号的递增而单调递增的程度。例如,一个单调性为严格单调递增的DAC输出的模拟信号随着数字信号的递增而严格单调递增。单调性越好,DAC输出的模拟信号与数字信号之间的转换越可靠,因此单调性也是衡量DAC性能的重要指标之一。

建立时间:DAC的建立时间是指它从输入数字信号发生变化到输出模拟信号稳定的时间,通常用纳秒(ns)表示。例如,一个建立时间为100 ns的DAC需要100 ns才能输出稳定的模拟信号。建立时间越短,DAC输出的模拟信号越快速、准确,因此建立时间也是衡量DAC性能的重要指标之一。

64、一个典型的数字信号处理系统

模拟信号—》抗混叠滤波器—》采样保持电路—》ADC—》DSP—》DAC—》重构滤波器—》增强的模拟信号

65、双绞线电缆

非屏蔽双绞线(UTP)电缆广泛用于市内电话和某些室外场合。

相声(串扰)即失真的一种类型。当把双绞线捆绑在一起时,失真就被最小化了。双绞线中的两根线为一对绞合在一起时,使得相互之间的相交角度大约达到90°,理想情况下可以去除电线中的信号所产生的电磁场。

66、光纤中光的传播模式

在光纤中,光的传播模式可以分为两种:多模光纤模式和单模光纤模式。

多模光纤模式:多模光纤模式是指光线在光纤中可以有多个不同的路径。这种模式下,光线可以通过光纤的不同部位以不同的角度入射,导致光线经过不同的反射角度而传播。由于多个光线以不同的速度行进,因此信号到达终点的时间可能会发生变化,称为脉冲扩散。多模光纤通常用于短距离和中距离传输,例如办公室局域网、视频监控和宽带接入。

单模光纤模式:单模光纤模式是指光线在光纤中只能有一条路径,因此称为单模光纤。由于只有一条路径,信号传输速度更快,传输距离更远。单模光纤通常用于长距离传输,例如跨越大洋或跨越城市的长距离通信。

在单模光纤中,光的传播是沿着光轴方向进行的,这意味着在这种模式下,光线经过的距离比在多模光纤中更长,但是由于光线经过的路径更少,因此信号到达终点的时间变化更小,更容易保持信号的完整性和稳定性。此外,单模光纤中的光传播方式还表现出其他一些特性,如色散和光纤耦合。因此,在设计光纤通信系统时,需要根据具体的需求选择适当的光纤类型和传播模式。

67、传输速率

传输速率就是数据的传输速度。在串行数据传输中,传输速率可以表示为比特率或波特率。
比特率是每秒传输的位的个数,波特率是符号速率或表示每秒传输的数据符号的个数。

68、传输效率

数据传输通道的传输效率是数据位和数据包中的全部位之比。非数据位被看成开销位。

69、传输模式-单工-半双工-全双工

在单工模式中,数据的传输只有一个方向,即从发射器到接收器。例如,从计算机发送到打印机的数据就是单向传输的。

在半双工模式中,数据的传输是双向的,但在同一通道内并不是同时传输的。

在全双工模式中,数据在同一通道同时双向传输。通道的带宽即为两个传输方向所用带宽之和。

70、正交调幅QAM

QAM使用的相移键控和幅移键控的组合来传输信息。正交指的是90°的相位差。每一个相位和幅度的组合称为一个调制状态或符号。

71、脉冲宽度调制PWM

脉冲宽度调制(PWM)是一种常见的模拟调制技术,用于将模拟信号转换成脉冲序列。在PWM中,信号被抽样并用一个宽度可变的脉冲序列来表示,脉冲宽度与信号幅度成正比,而脉冲的重复频率是固定的。

PWM信号的重要特征是占空比,即脉冲宽度与一个固定的周期的比值。占空比可以控制PWM信号的平均电平,从而可以实现信号的模拟调制。

PWM技术广泛应用于电力电子调节、交流电机控制、音频放大器和LED调光等领域。其中,基于PWM的数字电源技术具有高效节能、体积小、重量轻、可靠性高等优点,逐渐替代传统的线性调节方式,成为现代电源技术的主流。

72、使用J-K触发器,设计下图所示的计数器

在这里插入图片描述
1、画出次态表;

2、画出触发器转换表

3、画出卡诺图、写出触发器逻辑表达式

4、根据表达式连接触发器(注意接时钟信号)

JK触发器:
在这里插入图片描述

73、数字电路逻辑功能的几种表示方法

1、真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路)

2、逻辑表达式

3、卡诺图

4、逻辑图

5、波形图或时序图

6、状态图(时序电路)

74、施密特触发器

施密特触发器(Schmitt Trigger)是一种常用的双稳态门电路,由德国工程师奥托·施密特于1934年发明。它通过正反馈的方式,在输入信号在一定范围内反复变化时,产生稳定的输出信号,从而对输入信号进行处理。

施密特触发器的基本工作原理是利用正反馈,将输入信号放大并变换成输出信号。当输入信号超过一定的阈值(称为上限)时,输出信号被切换为高电平;当输入信号低于另一个阈值(称为下限)时,输出信号被切换为低电平。当输入信号在这两个阈值之间波动时,输出保持稳定状态。

施密特触发器通常由一个比较器和一个正反馈网络构成。比较器根据输入信号和上下限阈值的比较结果输出高低电平信号,正反馈网络将输出信号作为反馈输入,增强比较器输出的幅度,并使其在上升/下降过程中突破阈值,从而使输出稳定在高/低电平。

施密特触发电路地输出状态始终是由当时的输入状态决定的,没有记忆功能。

施密特触发器实质上是具有滞后特性的逻辑门,他有两个阈值电压。电路状态与输入电压有关,不具备记忆功能。可用在波形变换、整形、抗干扰、幅度鉴别中。

阈值电压的计算

75、多谐振荡器

多谐振荡器是一种在接通电源后,就能产生一定频率和一定幅度矩形波的自激振荡器,常作为脉冲信号源。在频率稳定性要求较高的场合通常采用石英晶体振荡器。

多谐振荡电路基本性能参数:振荡周期(频率)、输出脉冲幅度

多谐振荡电路的工作特点是不停地在两个暂稳态之间反复转换,因而振荡周期等于两个暂稳态持续时间之和。

76、单稳态触发器

77、A/D

采样-保持-量化-编码

78、常用组合、时序逻辑部件

组合逻辑部件:编码器、译码器、数据选择器、数据分配器、半加器、全加器。

时序逻辑部件:计数器、寄存器。

79、组合逻辑电路分析

思路:
1、由逻辑图写出输出逻辑表达式;

2、将逻辑表达式化简为最简与或表达式;

3、由最简表达式列出真值表;

4、分析真值表,说明电路逻辑功能。

80、时序逻辑电路分析

思路:
1、由电路图写出时钟方程、驱动方程和输出方程;

2、将驱动方程代入触发器的特征方程,确定电路状态方程;

3、分析计算状态方程,列出电路状态表;

4、由电路状态表画出状态图或时序图。

81、组合逻辑电路设计

设计思路:
1、由电路功能描述列出真值表;

2、由真值表写出逻辑表达式或卡诺图;

3、将表达式化简为最简与或表达式;

4、逻辑变换,画出逻辑电路图。

82、时序逻辑电路设计

设计思路:
1、由设计要求画出原始状态图或时序图;

2、简化状态图,并分配状态;

3、选择触发器类型/根据给定的触发器类型,求时钟方程、输出方程、驱动方程;

4、画出逻辑电路图。

用小规模集成门电路设计:

1、进行逻辑抽象,把要求实现的逻辑功能表述为一个逻辑函数形式。

2、写出逻辑表达式。

3、将逻辑函数式化简或变换。

4、画出用门电路组成的逻辑电路图。

83、为什么时序逻辑电路中必须含有一个存储电路,而且存储电路的输出还必须与输入变量一起决定电路的输出?

在时序逻辑电路中,电路的输出不仅取决于当前的输入,还取决于之前输入信号的状态,即电路内部的状态。因此,需要使用存储电路来存储之前的输入状态,以便在下一次时钟信号到来时,将存储的状态与当前输入一起用于决定输出。

同时,存储电路的输出还必须与输入变量一起决定电路的输出,这是因为在时序逻辑电路中,电路的输出不仅受到当前输入和存储的状态的影响,还可能受到时钟信号的影响。当时钟信号到达时,存储的状态会被传输到下一个时钟周期中,而此时电路的输出应该由新的输入和传输过来的状态一起决定。因此,输入和存储的状态必须共同参与输出的决策,以确保电路的正确性和可靠性。

84、既然计数器能记录输入的CLK脉冲数,那么是否可以说CLK信号是计数器的输入逻辑变量?

85、为什么时序逻辑电路中存在能否自启动的问题?如何保证设计的电路一定能够自启动?

保证电路能够自启动的关键在于保证每个无效状态的次态都能直接或间接地转入一个有效状态。

86、

87、

88、

89、

90、

91、

92、

93、

94、

95、

96、

97、

98、

99、

100、

猜你喜欢

转载自blog.csdn.net/qq_45908742/article/details/129219031