LL-verilog语法多位宽全加器

两个多位宽数进行全加:
a[99:0] 与 b[99:0]的全加,
包括
input a,b,cin,
output cout,sum

全加器
assign {cout,sum} = a+b+cin;

verilog代码:

module top_module (
input [99:0] a,
input [99:0] b,
input cin,
output cout,
output [99:0] sum
);

// The concatenation {cout, sum} is a 101-bit vector.
assign {cout, sum} = a+b+cin;

endmodule

猜你喜欢

转载自blog.csdn.net/QQ_778132974/article/details/119491130