Vivado软件使用之"下载配置"流程

  1. 在Flow Navigator中点击Program and Debug下的Generate Bitstream选项,工程会自动完成综合、实现、Bit文件生成过程,完成之后,可点击Open Implemented Design 来查看工程实现结果。

Vivado软件使用之

Vivado软件使用之

  1. 点击Flow Navigator中的Open Hardware Manager一项,进入硬件编程管理界面。

Vivado软件使用之

  1. 在Flow Navigator中展开Hardware Manager ,点击Open New Target。

Vivado软件使用之

  1. 在弹出的Open hardware target向导中,先点击Next,进入Server选择向导。

Vivado软件使用之

  1. 保持默认,next。

Vivado软件使用之

  1. 选中FPGA芯片型号,点击Next。完成新建Hardware Target。

Vivado软件使用之

Vivado软件使用之

  1. 此时,Hardware一栏中出现硬件平台上可编程的器件。(此处以zynq为例,如果是纯的FPGA的平台,该出只有一个器件。)在对应的FPGA器件上右击,选择Program Device。

Vivado软件使用之

  1. 选择bit文件位置,默认,直接Program。

Vivado软件使用之

猜你喜欢

转载自blog.51cto.com/15057848/2671828
今日推荐