基于VHDL自动售邮票机设计

语言:VHDL。 使用软件vivado 或 quartus(本文)。

设计一个自动售邮票机。
用开关电平信号模拟投币过程,每次投一枚硬币, 但可以连续投入数枚硬币。机器能自动识别硬币金额,最大为 1 元,最小为 5 角。设定票价为 2.5 元,每次售一张票。购票时先投入硬币,当投入的硬币总金额达到或超过票的面值时,机器发出指示,这时可以按取票键取出票。如果所投硬币超过票的面值则会提示找零钱,取完票以后按找零。
该自动售票机用开关电平信号模拟投币过程,每次投一枚硬币,但可以连续投入数枚硬币。机器能自动识别硬币金额,最大为 1 元,最小为 5 角。设定票价为 2.5 元,每次售一张票。购票时先投入硬币,当投入的硬币总金额达到或超过票的面值时,机器发出指示,这时可以按取票键取出票。如果所投硬币超过票的面值则会提示找零钱, 取完票以后按找零键则可以取出钱。

系统模块图如下:
在这里插入图片描述
RTL的电路图如下:

猜你喜欢

转载自blog.csdn.net/QQ_778132974/article/details/114748548
今日推荐