基于VHDL报警系统设计

该源码属于报警系统,也可应用于汽车防盗系统

系统输入接口包括10个开关pw 0-5 ,switch1-4,
输入时钟频率sysclk
输入ADC信号
输出包括led 1-3 
ADC_DOUT

系统包括时钟模块,ADC数据采集模块,按键模块,LED模块,计数器模块等

FPGA可以选择xilinx的xc7a100tcsg芯片
数模转换器选择MAX1111
 

下面是程序验证和仿真,平台是quartusII

猜你喜欢

转载自blog.csdn.net/QQ_778132974/article/details/111825100