【FPGA】学习笔记—{Verilog}—{二、Verilog语言要素}


2.1 概述

Verilog程序由各种符号流构成。
在这里插入图片描述

 1、空白符(White Space)

  ●包括:空格、Tab、换行、、换页
  ●在综合时空白符被忽略。

 2、注释(Comment)

  ●单行注释://
  ●多行注释:/*……*/

 3、标识符(Identifier)

  ●标识符是给Verilog对象起的名字,模块、端口和实例的名字都是标识符。
  ●命名规则:
   ○可以是任意一组字母数字以及符号“$”和“_”(下画线)的组合。
   ○第一个字符必须是字母(a~ z,A~Z)或者是下画线“_
   ○最长可以包含1023个字符。
   ○标识符区分大小写。
  ●转义标识符(Escaped identifiers)
   ○以"\"开头,以空白符结尾。
   ○可以包含任意符号
   ○反斜线和结束空白符并不是转义标识符的一部分。
   ○\OutGate=OutGate

 4、关键字(Key Word)

  ●关键字(保留字):Verilog语言内部已经使用的词。
  ●所有关键字都是小写。

 5、运算符(Operator)


2.2 常量

   2.2.1 正数

   2.2.2 实数

   2.2.3 字符串


2.3 数据类型

   2.3.1 net型

   2.3.2 variable型


2.4 参数

   2.4.1 参数parameter

   2.4.2 Verilog-2001中的参数声明

   2.4.3 参数的传递

   2.4.4 localparam


2.5 向量


2.6 运算符

猜你喜欢

转载自blog.csdn.net/qq_43935020/article/details/106269786