使用Xilinx验证进行系统仿真验证

一、关于xilinx验证 IP的介绍

图片来自:

https://china.xilinx.com/products/design-tools/vivado/verification-ip.html#overview

image

image

xilinx提供了很多验证IP,有用于仿真zynq核的zynq-vip,有用于仿真AXI行为的

AXI Verification IP,有用于仿真AXI Stream行为的AXI Stream Verification IP,有用于仿真ultrascale mp soc核的zynq-ultra-ps-e-vip。

xilinx还提供了两个quick take video:

https://china.xilinx.com/products/design-tools/vivado/verification-ip.html#video

image


二、AXI Verification IP的示例工程

1、新建工程,在IP Catalog里面找到AXI Verification IP

2、然后右键点击open example design

3、工程框图如下所示:

image


仿真框图如下:

image

4、运行仿真

image

image

simulation source下面的每一个文件夹都是一个完整的仿真配置,有不同的功能:

在文件夹那里右键单击run similation,就可以运行仿真。

image

选择仿真文件夹名字为上图的名字

image

5、如果自己建立新的仿真工程,则需要将示例工程根目录下面的imports文件夹的些文件拷贝出来,同样放在新建的工程的imports文件夹里,这些文件是API函数。将axi vip的API函数都include进来方法如下:

image

在importss文件夹里的axi_vip_0_mst_stimulus.sv文件定义了以下语句进行写数据

image

同时还需要根据block dessign的信息修改里面的配置:

1)

image

image

image

2)

image

image

6、这样,就可以进行仿真了

总结:最后还是在原来的example 工程上直接删减进行修改比较好,这样出错的概率小很多。


三、参考资料

1、PG276-AXI Verification IP v1.1

2、https://china.xilinx.com/products/design-tools/vivado/verification-ip.html#overview

猜你喜欢

转载自www.cnblogs.com/Ariza123/p/axi_vip.html