[SV]SystemVerilog logic vs wire

                          SystemVerilog logic vs wire

       Wire is verilog datatype whereas logic is SystemVerilog data type.

Logic:

  • SystemVerilog logic data type is 4-state data type

Wire:

  • Verilog wire also 4-state data type, wire is used to connect input and output ports of a module instantiation together with some other element in your design
发布了189 篇原创文章 · 获赞 118 · 访问量 4万+

猜你喜欢

转载自blog.csdn.net/gsjthxy/article/details/105309458
今日推荐