SystemVerilog中的$urandom_range()

转自:http://blog.sina.com.cn/s/blog_13f7886010102xcho.html

使用SystemVerilog中的rand机制, 经常会用到$urandom_range()这个函数, 得到一个无符号的整型数.

语法:$urandom_range(int unsigned maxval,int unsigned minval = 0);​

功能:返回一个在maxval和minval之间的无符号整数

Example:

val = $urandom_range(7,0);

val = $urandom_range(7);//如果minval没有指定,默认为0

val = $urandom_range(0,7);//如果mixval比minval小,参数列表会自动反向

上面3个例子均等价

猜你喜欢

转载自www.cnblogs.com/camellia3371----/p/12621414.html