SystemVerilog中 if...else 和 assertion 的区别

assert一般用与检查函数参数的合法性(有效性)而不是正确性,但是合法的程序并不见得就是正确的程序。

猜你喜欢

转载自blog.csdn.net/weixin_44582960/article/details/106955862