SystemVerilog Verification Test Platform Writing Guide Chapter 10 Advanced Interface Unfinished

A virtual interface (virtual interface) is a handle to a physical interface (handle). The virtual interface also becomes the reference interface (ref interface).
The virtual interface and the corresponding general method can separate the design and verification platform to ensure that it is not affected by the design changes. When we change the name of a design pin, there is no need to change the method of driving this interface, but only need to bind the corresponding physical interface to the virtual interface when instantiating the physical transaction processor. In this way, greater reusability of the physical transaction processor is realized.
Definition of virtual interface: virtual interface_type name;
virtual interface can be defined as a member of the class, which can be initialized by the parameters or process of the construction function.

Published 38 original articles · Like 29 · Visits 10,000+

Guess you like

Origin blog.csdn.net/weixin_45270982/article/details/96605275