system verilog randomize the function (b)

In practical application, we may derive extension classes, which can also extend the class and define pre_randomize post_randomize function. If you do not call super.pre_randomize and post_randomize, then the execution will only extend the implementation of the class among the pre and post, otherwise the first implementation the base class, and then perform an extended class.
Here Insert Picture Description
Here Insert Picture Description
Here Insert Picture Description

Published 22 original articles · won praise 3 · Views 749

Guess you like

Origin blog.csdn.net/weixin_39662684/article/details/105229037