一次无聊的实验

直接上代码

using System.Diagnostics;
using UnityEngine;

public class Test1 : MonoBehaviour
{
    Vector3 a = Vector3.zero;
    Vector3 b = Vector3.zero;
    void Start()
    {
        int count = 1000000;
        Stopwatch sw = new Stopwatch();
        UnityEngine.Debug.Log("第一种开始");
        sw.Start();
        for (int i = 0; i < count; i++)
        {
            a = new Vector3(100, 100, 100);
        }
        sw.Stop();
        UnityEngine.Debug.Log("第一种结束,耗时 : " + sw.ElapsedMilliseconds);
        sw.Reset();
        UnityEngine.Debug.Log("第二种开始");
        sw.Start();
        for (int i = 0; i < count; i++)
        {
            b.x = 100;
            b.y = 100;
            b.z = 100;
        }
        sw.Stop();
        UnityEngine.Debug.Log("第二种结束,耗时 : " + sw.ElapsedMilliseconds);
        sw.Reset();
        UnityEngine.Debug.Log("第三种开始");
        sw.Start();
        for (int i = 0; i < count; i++)
        {
            b.x = b.y = b.z = 100;
        }
        sw.Stop();
        UnityEngine.Debug.Log("第三种结束,耗时 : " + sw.ElapsedMilliseconds);
        sw.Reset();
        UnityEngine.Debug.Log("第四种开始======================");
        sw.Start();
        for (int i = 0; i < count; i++)
        {
            a = new Vector3(i, i, i);
        }
        sw.Stop();
        UnityEngine.Debug.Log("第四种结束,耗时 : " + sw.ElapsedMilliseconds);
        sw.Reset();
        UnityEngine.Debug.Log("第五种开始");
        sw.Start();
        for (int i = 0; i < count; i++)
        {
            b.x = i;
            b.y = i;
            b.z = i;
        }
        sw.Stop();
        UnityEngine.Debug.Log("第五种结束,耗时 : " + sw.ElapsedMilliseconds);
        sw.Reset();
        UnityEngine.Debug.Log("第六种开始");
        sw.Start();
        for (int i = 0; i < count; i++)
        {
            b.x = b.y = b.z = i;
        }
        sw.Stop();
        UnityEngine.Debug.Log("第六种结束,耗时 : " + sw.ElapsedMilliseconds);
    }


}

结果如下
在这里插入图片描述
基本耗时比例就在9:4:9:9:7:12,vector的xyz分开赋值是最快的

发布了57 篇原创文章 · 获赞 22 · 访问量 3万+

猜你喜欢

转载自blog.csdn.net/Mediary/article/details/100704754