create_clock

clock 定义的位置:
create_clock -period 10 [get_ports A] (没有-name,工具自动定义clock名为port名,即A)
create_clock -period 10 [get_pins FF/P]
create_clock -period 10 [get_nets N]
后两种不常见
-waveform 可以指定占空比,第一个数字代表上升沿,第二个数字代表下降沿,第二个数字必须比第一个数字大,当下降沿出现在上升沿前时,下降沿数字加上period即可。(数字成对出现,即可以 -waveform {a b c d})
create_clock -period 10-name CLK -waveform {5 10} [get_ports A]
如果不指定 -waveform ,等同于-waveform {0 period/2}
一个位置有多个clock:
create_clock -name C1 -period 10 [get_ports CLK]
create_clock -name C2-period 15 [get_ports CLK] -add
(一个位置多个clock必须接-name,不然工具没法定义clock名字,总不能两个clock都叫同一个port名吧)
(如果没有加-add,最后一个定义的clock会覆盖之前定义好的clock)

综上,clock的三个属性:位置(source object),周期,波形
三个属性有一个不同,则为不同clock,比如周期,波形相同却定义在portA和pinA上的clock为不同clock。

不加位置,为virtual clock

发布了43 篇原创文章 · 获赞 0 · 访问量 3069

猜你喜欢

转载自blog.csdn.net/oTobias/article/details/101022045