【FPGA】Verilog 实践:优先级编码器 | Priority encoder


0x00 优先级编码器(Priority encoder)

"能将多个二进制输入压缩成更少数目输出的电路或算法的编码器"

优先级编码器是一种编码器,它考虑了两个或更多输入位同时变为 1 但没有收到输入的情况。当输入进来时,优先级编码器会按照优先级顺序进行处理。

通常,它按升序或降序排列输入的优先级,当没有输入时,它会向 \color{}NR 输出一个 1,以区分零输入和零输出。在下面的真值表中,输入值的优先级顺序如下:\color{}3 > 2 > 1 > 0

优先级编码器

猜你喜欢

转载自blog.csdn.net/weixin_50502862/article/details/135074841
今日推荐