牛客网Verilog刷题 | 快速入门-基础语法

1、VL1 四选一多路器

描述

制作一个四选一的多路选择器,要求输出定义上为线网类型

状态转换:

d0 11
d1 10
d2 01
d3 00

信号示意图:
在这里插入图片描述
在这里插入图片描述
输入描述:
输入信号 d1,d2,d3,d4 sel
类型 wire

输出描述:
输出信号 mux_out
类型 wire

`timescale 1ns/1ns
module mux4_1(
input [1:0]d1,d2,d3,d0,
input [1:0]sel,
output[1:0]mux_out
);
//*************code***********//
reg mux_out;
always@(d0 or d1 or d2 or d3 or sel) begin
    case(sel)
        2'b00:       mux_out <= d3;
        2'b01:       mux_out <= d2;
        2'b10:       mux_out <= d1;
        2'b11:       mux_out <= d0;
    endcase 
end

//*************code***********//
endmodule

2、

猜你喜欢

转载自blog.csdn.net/qq_43374681/article/details/132497944