Error (10200): Verilog HDL Conditional Statement error at key_clock.v(402): cannot match operand(s)

1、项目场景:

在verilog文件中使用按键判断时出现报错
Error (10200): Verilog HDL Conditional Statement error at key_clock.v(402): cannot match operand(s) in the condition to the corresponding edges in the enclosing event control of the always construct


2、问题描述

在这里插入图片描述
在这里插入图片描述


3、原因分析:

报错提示什么闭环,后面回到代码查看发现if_else,条件不能够形成完整的闭环


解决方案:

在这里插入图片描述

修改为else if即可成功。

猜你喜欢

转载自blog.csdn.net/qq_52215423/article/details/132059522