【Verilog数字系统设计教程】


解答:
1 ①用于熟悉信号传输中必须的滤波、变换、加密、解密、编码解码、纠检错压缩和解压缩等操作的电路就是信号处理电路。
②分为实时和非实时两大类,如石油地质勘察,爆破,记录回波数据,然后去除噪声,对有用信息处理等等;另外一类如军用无线通信系统和机载雷达系统中对检测到的信号进行增强、加密、编码、压缩,而在接收端必须及时地解压缩和、解码和解密并重现清晰地信号。
③实时处理系统就是
④因为现在复杂数字逻辑系统的设计都是借助EDA来完成的,无论电路系统的仿真和综合都需要掌握硬件描述语言。补充:并且用HDL的程序设计有很多好处:易于理解;易于维护;调试电路速度快;有许多易于掌握的仿真综合和布局布线的工具;还可以用C语言配合HDL来做逻辑设计的布线前和后的仿真,验证功能是否正确。
⑤一般我门不这样问,如何能的话现在的Verilog也不会这么火,哈哈;一般是C语言和硬件描述语言结合来用。用HDL的程序设计有很多好处:易于理解;易于维护;调试电路速度快;有许多易于掌握的仿真综合和布局布线的工具;还可以用C语言配合HDL来做逻辑设计的布线前和后的仿真,验证功能是否正确。
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
解答:
1 ①硬件描述语言是一种用形式化来描述数字电路和系统的语言;
②它的主要作用是建模、仿真、验证和综合
2 ①符合IEEE标准 的硬件描述语言有VHDL和Verilog HDL(1987年、1995年先后成为标准,VHDL是由美国军方组织开发);
②共同点:在这里插入图片描述
各自的特点:在这里插入图片描述
一句话:Verilog上手快,成熟资源丰富;VHDL应用历史长
在这里插入图片描述
3
4优点:

第二部分:设计验证部分
1 Verilog设计复杂电路基本思路:
在这里插入图片描述

猜你喜欢

转载自blog.csdn.net/weixin_42000717/article/details/125530922
今日推荐