【日常学习】集成电路半导体 计算机体系结构 顶会及期刊

集成电路/半导体领域的三大顶会:

ISSCC - IEEE International Solid-State Circuits Conference 国际固态电路会议

isscc官网 

IEDM -International Electron Devices Meeting IEEE国际电子元件会议

IEDM官网 

VLSI - IEEE Symposia on VLSI Technology and Circuits 超大规模集成电路研讨会

VLSI官网

以上官网可以下载advanced program / tutorial

计算机体系结构的四大顶会:

HPCA -  International Symposium on High-Performance Computer Architecture 高性能计算机架构

hpca官网

MICRO - IEEE/ACM International Symposium on Microarchitecture 微架构

micro官网

ISCA - International Symposium on Computer Architecture 计算机体系架构

ISCA汇总

isca2021

ASPLOS - International Conference on Architectural Support for Programming Languages and OperatingSystems 编程语言和操作系统的体系结构支持国际会议

asplos

一些期刊(我也不知道怎么样):
JSSC    IEEE Journal of Solid-State Circuits
TCAS-I    IEEE Transactions on Circuits and Systems I
TCAS-II    IEEE Transactions on Circuits and Systems II
TVLSI    IEEE Transactions on Very Large Scale Integration
EL    ELECTRONICS LETTERS

因为工作原因需要跟进的项目:

yinhe han 计算所

CMU课题组

猜你喜欢

转载自blog.csdn.net/Drinks_/article/details/117549480