verilog简易密码锁设计

使用Verilog语言设计密码锁,在vivado下创建工程,并使用testbench在vivado下仿真,得到正确的结果。该密码锁具有设置密码的功能,当输入正确的密码时可以输出open信号,当错误时输出close信号。
可以观看下面仿真图即可明白道理。

该密码锁可以在开发板上进行实际调试,对输入输出进行管脚的分配,可以通过数码管,LED等观察结果,本密码锁可以设置16bit的密码,当输入密码正确即可打开,也可以更改密码。本设计中使用状态机控制对密码锁的状态之间的变化,对状态机仿真时需要注意结合复位操作来进行仿真,可以得到正确的输出结果。

本设计代码截图和仿真截图如下所示:

在这里插入图片描述
在这里插入图片描述

猜你喜欢

转载自blog.csdn.net/QQ_778132974/article/details/117440971