vivado常用时钟与时序相关TCL脚本命令

1.查看时钟属性

get_package_pins -filter {IS_CLK_CAPABLE} //获取能作为clk的引脚
report_clocks //查看全部时钟信息,周期、占空比、抖动是否正确
report_property [get_clocks sysClk] //查看sysClk的全部属性
get_property PERIOD [get_clocks sysClk] //只看周期
report_clock_interaction //查看时钟间的相互关系是否正常
report_clock_networks -name mynetwork //查看时钟网络驱动
check_timing -override_defaults no_clock//查看未被时钟驱动的时序元件

2.设定时钟约束

create_clock -name clka -period 5.0 -waveform {2.5 5.0} [get_ports clka] //设定基准时钟
create_generated_clock -name clk_div2 -source [get_ports clka] -divide_by 2 [get_ports clk_div2/Q] //设定派生时钟
set_input_jitter clka 0.1

猜你喜欢

转载自blog.csdn.net/qq_43445577/article/details/112257410
今日推荐