基于Nexys4DDR的数字时钟设计

本工程使用verilog编码。

一、功能描述如下:

设计一个多功能数字时钟,有基本的数字时钟、秒表、闹钟三个功能。其中,数字时钟可设置,秒表可以暂停和清零,闹钟可设置,到达设定时间后LED灯亮。

二、具体说明

1,数字时钟:

当拨码开关为“00”状态时,对应功能为数字时钟状态。当按下一次设置键时,可以使用加减键设置小时,再次按下设置键时,可以使用加减键设置分钟。第三次按下设置键,返回正常数字时钟状态。

2,秒表功能:

当拨码开关为“01”状态时,对应功能为秒表。对应显示状态为“SS.0D”,这里,SS代表秒,范围0~59。D代表毫秒,范围0~9。两个按键,按键一代表暂停与继续,按键二代表清零。

3,闹钟功能:

当拨码开关为“10”状态时,对应功能为闹钟。默认进入闹钟状态时,不可以设置闹钟时间。当按下一次设置键时,可以使用加减键设置闹钟小时,再次按下设置键时,可以使用加减键设置闹钟分钟。第三次按下设置键,返回正常闹钟状态。

猜你喜欢

转载自blog.csdn.net/QQ_778132974/article/details/111885085
今日推荐