verilog实现伺服电机控制

本工程可以实现伺服电机控制

编程语言是verilog

顶层模块如下:

module pid_motion_speed(
           //input
              sys_clk,                          //时钟
              sys_rst_n,                        //复位
              key,                              //转向设定
              a,                                //a相反馈输入
              b,                                //b相反馈输入

              //output
              uart_txd,   
              moto_pwm_a,
              moto_pwm_b,
           c_direct_positive ,  
           c_direct_negative 
              
);

仿真截图如下:

猜你喜欢

转载自blog.csdn.net/QQ_778132974/article/details/111997728