Systemverilog randsequence 中的 rand join 使用方法

参考:

https://wenku.baidu.com/view/83697a2d27d3240c8447ef60.html?from=search

https://blog.csdn.net/qq_41935964/article/details/84947126

IEEE STANDARD FOR SYSTEMVERILOG
 

rand join:交叉产生序列,S1 和 S2两个序列,上图可能产生6种结果,可能先产生S1序列,也可能先产生S2序列,但是S1序列中的 A B 有先后顺序,S2序列中的 C D 有先后顺序。

可以加上(0.0) (1.0) 分配权重,如果是(0.0) 则产生 S1 S2不穿插的序列, 如果是(1.0)则产生S1 S2相互穿插的序列。

不声明则默认 (0.5)。

猜你喜欢

转载自blog.csdn.net/Holden_Liu/article/details/104753568