原码一位乘法器设计——logisim

一、实验目的
学生掌握原码一位乘法运算的基本原理,熟练掌握 Logisim 寄存器电路的使用,能在 Logisim 平台中设计实现一个 8*8 位的无符号数乘法器。

二、实验内容
在 alu.circ 文件中原码一位乘法器子电路中增加控制电路和数据通路使得该电路能自动完成 8 位无符号数的一位乘法运算,设置引脚初始值,然后驱动时钟自动仿真,电路可自动完成运算,运算结束结果传输到输出引脚,运算结束时电路应该自动停止。

三、电路设计图
在这里插入图片描述
四、测试结果
在这里插入图片描述
五、心得和体会
通过这次实验,我收获许多。我加深了原码乘法的理解,掌握了实现原码一位乘法器的设计原理。刚开始实验的时候,会出现各种问题,比如,各个部件的位数不一致导致不兼容,还有引脚接错,提交了好多次,都没有通过。后来,细心检查,又重新画了一遍电路图,才画对了。

六、Logisim代码
需要的可关注私信我。

猜你喜欢

转载自blog.csdn.net/qq_43561302/article/details/106896178