HVP plan

HVP,hier verification plan,建立整个验证的plan,在验证后期,通过vcs的coverage db可以直接进行反标,

  包括反标code coverage,function coverage,testcase等。

coverage的database,包括vcs产生的db,自己拿到的external的data,

hvp提供一个feature list,pri,当前的design phase等信息,最终生成一份反标后的报告。

end user app包括两种方式,urg report,

                         spreadsheet annotator。(需要反标的excel的情况下)

  

一般描述一个verfication plan的方式有:

  1) HVP language,通过verdi和dve的gui界面创建有同样的效果。

  2) 使用一个spreadsheet,格式需要是MS excel,openoffice 2.0或更新的版本。(需要保存为xml格式)

spreadsheet的方式创建hvp,需要一定格式:

  

  1) matrics和attri需要单独的sheet,plan单独的sheet。

  2) plan的sheet中说明各个feature和sub feature,还有一些内建的attri/annotate。

  3) plan中单独的column指定,measure source和matics的项。

  

使用hvp language的方式创建plan,

plan...endplan,创建一个plan的域,其中可以声明其他的subplan,并且其他的sub_plan可以通过include将文件添加进来,

plan中的attribute,内建的有:string----owner,int---at_least,int----test_expected,也可以自己声明,attribute,主要来用描述feature的一些特性,

plan中的annotation,内建的有:int----weight,string----description,也可以自己声明。annotation,也是用来描述feature的一些特性的。

  attribute与annotation的区别主要在于,attribute会按hier的向下传递。

  plan的很多信息都是有数据类型的,如enum,real,int,string等,定义时,需要注意。

feature的定义,包括名字,measure名字,其中的matrics类型,source的路径等。

  feature slave_write;

    measure test,Cond Demo;

      source = "**test1**"

    endmeasure

  endfeature

  其中matrics的类型,vcs内部支持的包括,Line,Cond,FSM,Toggle,Branch,Assert,Group,Group.count

              SnpsAvg,AssertResult,test,test.percent,test.compeltion这些。

  measure之后的Demo表示的是当前measure的名字,这个在所有的feature中都是可以相同的。但是之前的matrics类型,每个feature都是不同的

  source中,指定的都是code,coverage group,coverage bin,test等的hier信息,可以使用通配符

猜你喜欢

转载自www.cnblogs.com/-9-8/p/9073731.html