FPGA工程师需要具备的技能

前言

整理一些个人对FPGA工程师职业发展的观点,仅是个人见解,分享交流。

缓慢更新中。。。空闲时,就写一写。

首先,需要建立一个概念:FPGA仅是实现一个项目所采用的芯片。

不要把自己局限在专研FPGA开发技术上面,FPGA仅是实现手段,是一种工具,也就是工具掌握的熟练度问题。

当你充分掌握了FPGA开发技能之后(这些技能也很容易掌握),就会遇到职业发展的瓶颈了。

基础技能
1、良好的文档撰写能力,熟练使用办公软件。 2、良好的英语水平及英文文档阅读能力。加分项:良好的英文交流能力。
3、熟练掌握常用通信协议及通信接口设计:UART/IIC/SPI/PCIE/ Aurora/Ethernet/SRIO 4、熟练了解常用存储器件:Flash/SDR SDRAM/DDR3 SDRAM
5、理解并掌握同步设计、跨时钟域处理。 6、熟练使用Verilog编程,具有扎实的Verilog语法基础和良好的代码风格,
7、理解可综合和不可综合代码设计。

8、熟悉各种FPGA芯片资源:

Virtex-5/virtex-7/kintex-7/UltraScale+/kintex ultrascale FPGA等。

9、理解并掌握时序约束思想,熟练使用时序约束工具 10、理解并掌握约束相关理论,并熟练使用相关软件工具。
11、具有相关专业的知识理论基础 12、具有相关行业的背景知识。
   
   
发布了80 篇原创文章 · 获赞 77 · 访问量 3万+

猜你喜欢

转载自blog.csdn.net/qq_22168673/article/details/102939311