寄存器堆 (Register File)

作者:樱木花道
链接:https://www.zhihu.com/question/22619798/answer/27936513

寄存器堆(register file)是CPU中多个寄存器组成的阵列,通常由快速的静态随机读写存储器(SRAM)实现。这种RAM具有专门的读端口与写端口,可以多路并发访问不同的寄存器。  CPU的指令集架构总是定义了一批寄存器,用于在内存与CPU运算部件之间暂存数据。在更为简化的CPU,这些架构寄存器(architectural registers)一一对应与CPU内的物理存在的寄存器。在更为复杂的CPU,使用寄存器重命名技术,使得执行期间哪个架构寄存器对应于哪个寄存器堆的物理存储条目(physical entry stores)是动态改变的。寄存器堆是指令集架构的一部分,程序可以访问,这与透明的CPU高速缓存(cache)同。实现通常的设计布局是一个简单的阵列,在水平方向的一行就是寄存器的全字长宽度,一行的每一位元的存储单元(bit cell)通过位线(Bit Line)读/写其数据。在垂直方向把寄存器一次即能全字长读出。放大电路(Sense amplifier)通常设在底部,把读出的小幅值的两根位线(组成了一对差分电路)的电位差,放大为全幅值的逻辑值电位。更大的寄存器堆的设计是镜像与旋转后(tiling mirrored and rotated)拼贴这种简单的寄存器阵列。
寄存器堆的每个条目(entry,即一个物理寄存器)对每个端口(port)都有一条字线(word
line),每个位元的基本存储单元,对每个读端口有1条位线,对每个写端口有2条位线。每个位元的基本存储单元都连接到了供电的Vdd(高电平)与Vss(低电平或者接地),这里的d是指组成SRAM的场效应管的漏极(drain),s是指场效应管的源极(source)。因此,布线所占面积随端口的平方增加,晶体管是线性增加。多个冗余的具有较少读端口的寄存器堆可能会比具有全套多个读端口的单一寄存器堆,面积更小、读取更快。MIPS R8000的整数单元,有一个寄存器堆的实现,有32个条目,字长64位,具有9个读端口及4个写端口。

发布了50 篇原创文章 · 获赞 5 · 访问量 2714

猜你喜欢

转载自blog.csdn.net/qq_43042339/article/details/103766972