Pycharm,出现Invalid VCS root mapping The directory 解决方法

Pycharm

File 中setting-------version control  中VCS选择none  后选择ok

执行完以上的步骤,还错误就会消失。

猜你喜欢

转载自www.cnblogs.com/liang715200/p/12091690.html
VCS