VHDL库的声明

库的声明

  使用一个库之前,需要首先对库进行声明。经过声明之后,在设计中就可以调用库中的代码了。

库的声明格式:

library library_name;

use library_name.package_name.package_parts;

其中,library和use是vhdl保留的关键字。常用的3个库集:

ieee.std_logic_1164(来自ieee库)

standard(来自std库)

work(来自work库)

声明方式:

library ieee;

use ieee.std_logic_1164.all;

library std;

use std.standard.all;

猜你喜欢

转载自www.cnblogs.com/lhkhhk/p/11829611.html