VHDL

  Verilog HDL与VHDL均是硬件描述语言(HDL)的一种,它们存在着异同点。

1.共同点:

  能形式化的抽象表示电路的行为和结构;支持逻辑设计中层次与范围的描述;可借用高级语言的结构来简化电路行为的描述;具有电路仿真与验证机制;支持电路描述由高层到底层的综合转换;硬件描述与实现工艺无关;易于理解和重用。

2.VHDL 的特点:

  VHDL 主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL 在语言形式、描述风格和句法上与高级语言十分相似.。VHDL 的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件、一个电路模块或一个系统)分成外部和内部两部分。外部也可称为可视部分,它描述了此模块的端口,而内部可称为不可视部分,它涉及到实体的功能实现和算法完成。在对一个设计实体定义了外部端口后,一旦其内部开发完成,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL 系统设计的基本点。

  应用 VHDL 进行工程设计有以下的优点:

  (1)行为描述:与其他的硬件描述语言相比(相对于电路原理图输入),VHDL 具有更强的行为描述能力,强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

  (2)仿真模拟:VHDL 丰富的仿真语句和库函数,使得在任何系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

  (3)大规模设计:一些大型的 FPGA 设计项目必须有多人甚至多个开发组共同并行工作才能实现。VHDL 语句的行为描述能力和程序结构决定了它具有支持大规模设计的分解和已有设计的再利用功能。

  (4)门级网表:对于用 VHDL 完成的一个确定的设计,可以利用 EDA 工具进行逻辑综合和优化,并自动把VHDL 描述设计转变成门级网表。

  (5)独立性:VHDL 对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必对最终设计实现的目标器件有很深入地了解。

猜你喜欢

转载自www.cnblogs.com/anSn/p/10351097.html