linux--vim进阶

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接: https://blog.csdn.net/qq_38880380/article/details/98953147

1 介绍

2 配置

2.1 配置文件路径

Vim 的全局配置一般在/etc/vim/vimrc或者/etc/vimrc,对所有用户生效。用户个人的配置在~/.vimrc。
如果只对单次编辑启用某个配置项,可以在命令模式下,先输入一个冒号,再输入配置。举例来说,set number这个配置可以写在.vimrc里面,也可以在命令模式输入。

2.2 命令模式下配置

如果只对单次编辑启用某个配置项,可以在命令模式下,先输入一个冒号,再输入配置。举例来说,set number这个配置可以写在.vimrc里面,也可以在命令模式输入。

:set number

2.3 查询配置

查询某个配置项是打开还是关闭,可以在命令模式下,输入该配置,并在后面加上问号

:set number?

上面的命令会返回number或者nonumber。

2.4 开启和关闭配置

配置项一般都有"打开"和"关闭"两个设置。“关闭"就是在"打开"前面加上前缀"no”。

" 打开
set number

" 关闭
set nonumber

上面代码中,双引号开始的行表示注释。

2.5 查看帮助

如果想查看帮助,可以使用help命令

:help number

2.5 配置选项

2.5.1 基本配置

  • 不与 Vi 兼容(采用 Vim 自己的操作命令)
set nocompatible
  • 打开文件类型检测功能
    它相当于文件类型检测功能的开关。在执行"filetype on"命令时,vim实际上执行的是vimRUNTIME/filetype.vim脚本。这个脚本使用了自动命令(autocmd)来根据文件名来判断文件的类型,如果无法根据文件名来判断出文件类型,它又会调用vimRUNTIME/scripts.vim来根据文件的内容设置文件类型
filetype on
  • 打开语法高亮。自动识别代码,使用多种颜色显示
syntax on
  • 在底部显示,当前处于命令模式还是插入模式
set showmode
  • 命令模式下,在底部显示,当前键入的指令。比如,键入的指令是2y3d,那么底部就会显示2y3,当键入d的时候,操作完成,显示消失
set showcmd
  • 支持使用鼠标
set mouse=a
  • 使用 utf-8 编码
set encoding=utf-8  
  • 启用256色
set t_Co=256
  • 开启文件类型检查,并且载入与该类型对应的缩进规则
    比如,如果编辑的是.py文件,Vim 就是会找 Python 的缩进规则~/.vim/indent/python.vim
filetype indent on

2.5.2 缩进

  • 按下回车键后,下一行的缩进会自动跟上一行的缩进保持一致
set autoindent
  • 按下 Tab 键时,Vim 显示的空格数
set tabstop=2
  • 在文本上按下>>(增加一级缩进)、<<(取消一级缩进)或者==(取消全部缩进)时,每一级的字符数
set shiftwidth=4
  • 由于 Tab 键在不同的编辑器缩进不一致,该设置自动将 Tab 转为空格
set expandtab
  • Tab 转为多少个空格
set softtabstop=2

2.5.3 外观

  • 显示行号
set number
  • 显示光标所在的当前行的行号,其他行都为相对于该行的相对行号
set relativenumber
  • 光标所在的当前行高亮
set cursorline
  • 设置行宽,即一行显示多少个字符
set textwidth=80
  • 自动折行,即太长的行分成几行显示
set wrap
  • 关闭自动折行
set nowrap
  • 只有遇到指定的符号(比如空格、连词号和其他标点符号),才发生折行。也就是说,不会在单词内部折行
set linebreak
  • 指定折行处与编辑窗口的右边缘之间空出的字符数
set wrapmargin=2
  • 垂直滚动时,光标距离顶部/底部的位置(单位:行)
set scrolloff=5
  • 水平滚动时,光标距离行首或行尾的位置(单位:字符)。该配置在不折行时比较有用
set sidescrolloff=15
  • 是否显示状态栏。0 表示不显示,1 表示只在多窗口时显示,2 表示显示
set laststatus=2
  • 在状态栏显示光标的当前位置(位于哪一行哪一列)
set  ruler

2.5.4 搜索

  • 光标遇到圆括号、方括号、大括号时,自动高亮对应的另一个圆括号、方括号和大括号
set showmatch
  • 搜索时,高亮显示匹配结果
set hlsearch
  • 输入搜索模式时,每输入一个字符,就自动跳到第一个匹配的结果
set incsearch
  • 搜索时忽略大小写
set ignorecase
  • 如果同时打开了ignorecase,那么对于只有一个大写字母的搜索词,将大小写敏感;其他情况都是大小写不敏感。比如,搜索Test时,将不匹配test;搜索test时,将匹配Test
set smartcase

2.5.5 编辑

  • 打开英语单词的拼写检查
set spell spelllang=en_us
  • 不创建备份文件。默认情况下,文件保存时,会额外创建一个备份文件,它的文件名是在原文件名的末尾,再添加一个波浪号(〜)
set nobackup
  • 不创建交换文件。交换文件主要用于系统崩溃时恢复文件,文件名的开头是.、结尾是.swp
set noswapfile
  • 保留撤销历史。
    Vim 会在编辑时保存操作历史,用来供用户撤消更改。默认情况下,操作记录只在本次编辑时有效,一旦编辑结束、文件关闭,操作历史就消失了。
    打开这个设置,可以在文件关闭后,操作记录保留在一个文件里面,继续存在。这意味着,重新打开一个文件,可以撤销上一次编辑时的操作。撤消文件是跟原文件保存在一起的隐藏文件,文件名以.un~开头
set undofile
  • 设置备份文件、交换文件、操作历史文件的保存位置
    结尾的//表示生成的文件名带有绝对路径,路径中用%替换目录分隔符,这样可以防止文件重名
set backupdir=~/.vim/.backup//  
set directory=~/.vim/.swp//
set undodir=~/.vim/.undo// 
  • 自动切换工作目录。
    这主要用在一个 Vim 会话之中打开多个文件的情况,默认的工作目录是打开的第一个文件的目录。该配置可以将工作目录自动切换到,正在编辑的文件的目录
set autochdir
  • 出错时,不要发出响声
set noerrorbells
  • 出错时,发出视觉提示,通常是屏幕闪烁
set visualbell
  • Vim 需要记住多少次历史操作
set history=1000
  • 打开文件监视。如果在编辑过程中文件发生外部改变(比如被别的编辑器编辑了),就会发出提示
set autoread
  • 如果行尾有多余的空格(包括 Tab 键),该配置将让这些空格显示成可见的小方块
set listchars=tab:»■,trail:■
set list
  • 命令模式下,底部操作指令按下 Tab 键自动补全。第一次按下 Tab,会显示所有匹配的操作指令的清单;第二次按下 Tab,会依次选择各个指令。
set wildmenu
set wildmode=longest:list,full

2.6 自动添加文件标题

" 当新建 .h .c .hpp .cpp .mk .sh等文件时自动调用SetTitle 函数
autocmd BufNewFile *.[ch],*.hpp,*.cpp,Makefile,*.mk,*.sh exec ":call SetTitle()" 
" 加入注释 
func SetComment()
	call setline(1,"/****************************************************************") 
	call append(line("."),   "*   Copyright (C) ".strftime("%Y")." uMore Ltd. All rights reserved.")
	call append(line(".")+1, "*   ") 
	call append(line(".")+2, "*   File Name:".expand("%:t")) 
	call append(line(".")+3, "*   Author: worthsen")
	call append(line(".")+4, "*   Date: ".strftime("%Y年%m月%d日")) 
	call append(line(".")+5, "*   Description:") 
	call append(line(".")+6, "*")
	call append(line(".")+7, "****************************************************************/") 
	call append(line(".")+8, "")
endfunc
 
" 加入shell,Makefile注释
func SetComment_sh()
	call setline(3, "#****************************************************************") 
	call setline(4, "#   Copyright (C) ".strftime("%Y")." uMore Ltd. All rights reserved.")
	call setline(5, "#   ") 
	call setline(6, "#   File Name:".expand("%:t")) 
	call setline(7, "#   Author:worthsen")
	call setline(8, "#   Date:".strftime("%Y年%m月%d日")) 
	call setline(9, "#   Description:") 
	call setline(10, "#")
	call setline(11, "#****************************************************************")
	call setline(12, "")
	call setline(13, "")
endfunc 
 
" 定义函数SetTitle,自动插入文件头 
func SetTitle()
	if &filetype == 'make' 
		call setline(1,"") 
		call setline(2,"")
		call SetComment_sh()
	elseif &filetype == 'sh' 
		call setline(1,"#!/system/bin/sh") 
		call setline(2,"")
		call SetComment_sh()
		
	else
	    call SetComment()
	    if expand("%:e") == 'hpp' 
			call append(line(".")+9, "#ifndef _".toupper(expand("%:t:r"))."_H") 
			call append(line(".")+10, "#define _".toupper(expand("%:t:r"))."_H") 
			call append(line(".")+11, "#endif //".toupper(expand("%:t:r"))."_H") 
	    elseif expand("%:e") == 'h' 
			call append(line(".")+9, "#ifndef _".toupper(expand("%:t:r"))."_H") 
			call append(line(".")+10, "#define _".toupper(expand("%:t:r"))."_H") 
			call append(line(".")+11, "#endif //".toupper(expand("%:t:r"))."_H") 
			call append(line(".")+12, "#pragma once") 
	    elseif &filetype == 'c' 
			call append(line(".")+9,"#include \"".expand("%:t:r").".h\"") 
	    elseif &filetype == 'cpp' 
			call append(line(".")+9, "#include \"".expand("%:t:r").".h\"") 
	     endif
 
	endif
endfunc

2.7 插件

m+vundle配置

2.8 配置文档

下载连接

set nocompatible
filetype on

" 当新建 .h .c .hpp .cpp .mk .sh等文件时自动调用SetTitle 函数
autocmd BufNewFile *.[ch],*.hpp,*.cpp,Makefile,*.mk,*.sh exec ":call SetTitle()" 
" 加入注释 
func SetComment()
	call setline(1,"/****************************************************************") 
	call append(line("."),   "*   Copyright (C) ".strftime("%Y")." uMore Ltd. All rights reserved.")
	call append(line(".")+1, "*   ") 
	call append(line(".")+2, "*   File Name:".expand("%:t")) 
	call append(line(".")+3, "*   Author: worthsen")
	call append(line(".")+4, "*   Date: ".strftime("%Y年%m月%d日")) 
	call append(line(".")+5, "*   Description:") 
	call append(line(".")+6, "*")
	call append(line(".")+7, "****************************************************************/") 
	call append(line(".")+8, "")
endfunc
 
" 加入shell,Makefile注释
func SetComment_sh()
	call setline(3, "#****************************************************************") 
	call setline(4, "#   Copyright (C) ".strftime("%Y")." uMore Ltd. All rights reserved.")
	call setline(5, "#   ") 
	call setline(6, "#   File Name:".expand("%:t")) 
	call setline(7, "#   Author:worthsen")
	call setline(8, "#   Date:".strftime("%Y年%m月%d日")) 
	call setline(9, "#   Description:") 
	call setline(10, "#")
	call setline(11, "#****************************************************************")
	call setline(12, "")
	call setline(13, "")
endfunc 
 
" 定义函数SetTitle,自动插入文件头 
func SetTitle()
	if &filetype == 'make' 
		call setline(1,"") 
		call setline(2,"")
		call SetComment_sh()
	elseif &filetype == 'sh' 
		call setline(1,"#!/system/bin/sh") 
		call setline(2,"")
		call SetComment_sh()
		
	else
	    call SetComment()
	    if expand("%:e") == 'hpp' 
			call append(line(".")+9, "#ifndef _".toupper(expand("%:t:r"))."_H") 
			call append(line(".")+10, "#define _".toupper(expand("%:t:r"))."_H") 
			call append(line(".")+11, "#endif //".toupper(expand("%:t:r"))."_H") 
	    elseif expand("%:e") == 'h' 
			call append(line(".")+9, "#ifndef _".toupper(expand("%:t:r"))."_H") 
			call append(line(".")+10, "#define _".toupper(expand("%:t:r"))."_H") 
			call append(line(".")+11, "#endif //".toupper(expand("%:t:r"))."_H") 
			call append(line(".")+12, "#pragma once") 
	    elseif &filetype == 'c' 
			call append(line(".")+9,"#include \"".expand("%:t:r").".h\"") 
	    elseif &filetype == 'cpp' 
			call append(line(".")+9, "#include \"".expand("%:t:r").".h\"") 
	     endif
 
	endif
endfunc

"新建文件后,自动定位到文件末尾
autocmd BufNewFile * normal G

" 语法高亮
if &t_Co > 1
     syntax enable
endif

" Tab键的宽度
set tabstop=4
" 自动缩进
set autoindent
set cindent
" 统一缩进为4
set softtabstop=4
set shiftwidth=4

" 显示行号
set number

" 高亮显示匹配的括号
set showmatch

3 使用

3.1 模式切换

3.2 命令

参考

1、linux–vim程序编辑器
2、《鸟哥的Linux私房菜》[第三版] 作者 鸟哥
3、Vim 配置入门
4、Vim 插件及配置
5、Vim的终极配置方案,完美的写代码界面! ——.vimrc
6、vi/vim使用进阶: 开启文件类型检测
7、m+vundle配置
8、强大的vim配置文件,让编程更随意
9、vim创建程序文件自动添加头部注释
10、No Syntax items defined for this buffer–Press ENTER or type command to continue

猜你喜欢

转载自blog.csdn.net/qq_38880380/article/details/98953147
今日推荐