给UltraEdit设置Verilog语法高亮

版权声明:本文为博主原创文章,未经博主允许不得转载。 https://blog.csdn.net/u012369580/article/details/85914538

很多博客中都有过介绍,不过现在下载的网址已经更新如下:

https://www.ultraedit.com/downloads/extras/wordfiles.html

摘录了一部分feature

缩进的设置

/Indent Strings = "begin" "case" "fork" "specify" "table" "config"
/Unindent Strings = "end" "endcase" "join" "endspecify" "endtable" "endconfig"

折叠功能设置
/Open Fold Strings = "module" "task" "function" "generate" "primitive" "begin" "case" "fork" "specify" "table" "config" "`ifdef"
/Close Fold Strings = "endmodule" "endtask" "endfunction" "endgenerate" "endprimitive" "end" "endcase" "join" "endspecify" "endtable" "endconfig" "`endif"

猜你喜欢

转载自blog.csdn.net/u012369580/article/details/85914538