如何处理Systemverilog 错误:Class data could not used in non-procedure dynamic context

class使用错误systemverilog dynamic context


Systemverilog编写test bench时,定义了class之后,如果在assign语句或者例化中使用对象(比如class_a class_a_object ; class_a_object = new();)的信号,编译时会报告class data not used in non-procedure dynamic context。

assign enable = class_a_object.en;  // error
dut u_dut( .valid(class_a_object.valid)…); //error

可以改为在initial语句块、always语句块中的信号、例化连接信号进行赋值。

Initial begin
repeat(10) begin
   assert(Class_a_object.randomize());
   enable = class_a_object.en;   //good
   valid = class_a_object.valid;  //good
end

dut u_dut( .valid(valid)…);

猜你喜欢

转载自blog.csdn.net/zt5169/article/details/84279203