FPGA开发点滴(1):代码编辑器sublime text 3

FPGA开发点滴(1):代码编辑器sublime text 3

1.入坑sublime text

在学校中做项目时,抱着能完成就OK的态度,对开发环境没任何要求,都是使用Quartus或ISE默认编辑器;而工作后,每天都与环境打交道,环境的友好度直接影响到工作状(手)态(感),因此在尝试了UltraEdit(使用两周)、source insight(使用两周)、notepad++(使用4年)之后,转到了sublime text 3,原因如下:

  • 功能强大的插件:只有你想不到,没有找不到,且安装管理方便;
  • 跨平台支持:可满足未来在linux下开发的需求;

2.插件安装方式

1.插件安装方式一:直接安装:
直接下载安装包解压缩到Packages目录(菜单->preferences->packages)。
2.插件安装方式二:使用Package Control组件安装:
1)按下Ctrl+Shift+P调出命令面板输入install,安装 intall package control;重启Sublime Text 3。如果在Perferences->package settings中看到package control这一项,则安装成功。
2)按下Ctrl+Shift+P调出命令面板输入install,调出 Install Package 选项并回车,然后在列表中选中要安装的插件。

3.环境配置

3.1 verilog代码高亮

1.快捷键:Crtl+Shift+P,打开 Package Control 界面,在其中输入“install”,选择第一条命令“intall package”,回车,准备安装package:

图2.1 执行install pakcage命令
等待命令响应完毕,sublime Text的状态栏:
图2.2 install命令的响应状态
2.响应完毕后,弹出新的窗口中,输入:verilog,回车,进行插件安装。
图2.3
3.更改语言选项:
【VIEW】/【syntax】/【verilog】

3.2 外观配置

1.设置sumlime text字体大小、样式与行距
【Preferences】/【settings】,在右侧的settings-User中添加以下内容,并保存。
图2.4 配置sumlime text 外观

猜你喜欢

转载自blog.csdn.net/bitart/article/details/82895985