Verilog基础知识(`define、parameter、localparam三者的区别)

1、概述

    `define:作用 -> 常用于定义常量可以跨模块、跨文件;

                           范围 -> 整个工程;

    parameter:     作用 -> 常用于模块间参数传递;

                          范围 -> 本module内有效的定义;

    localparam       作用 -> 常用于状态机的参数定义;

                          范围 -> 本module内有效的定义,不可用于参数传递;

                                      localparam cannot be used within the module port parameter list.

2、应用举例

(1) `define
    概念:可以跨模块的定义,写在模块名称上面,在整个设计工程都有效。
               一旦`define指令被编译,其在整个编译过程中都有效。例如,通
               过另一个文件中的`define指令,定义的常量可以被其他文件调用,
               直到遇到 `undef;
    举例:定义 `define UART_CNT 10'd1024
               使用 `UART_CNT
    
(2) parameter
    概念:本module内有效的定义,可用于参数传递;
               如果在模块内部定义时无法进行参数传递,
               若在模块名后照下面这样写则可以进行传递
    举例:定义-> 

  1. module video_in  
  2.            #(  
  3.           parameter MEM_DATA_BITS = 64,  
  4.           parameter INTERLACE     = 1      // 0  
  5.           )  
  6.           (  
  7.            input     clk,  
  8.            input     rst_n,  
  9.             
  10.            output    burst_finsh  
  11.           ); 
    1. video_in  
    2.        #( .MEM_DATA_BITS ( 64 ),  
    3.           .INTERLACE     ( 1  )  
    4.         )  
    5.        u_video_in (  
    6.         .clk             (clk_50m),  
    7.         .rst_n          (rst_n),  
    8.           
    9.         .burst_finsh (burst_finsh)  
    10.         ); 

使用 -> 调用此模块的时候可以像端口信号传递一样进行参数传递


  1. video_in  
  2.        #( .MEM_DATA_BITS ( 64 ),  
  3.           .INTERLACE     ( 1  )  
  4.         )  
  5.        u_video_in (  
  6.         .clk             (clk_50m),  
  7.         .rst_n          (rst_n),  
  8.           
  9.         .burst_finsh (burst_finsh)  
  10.         ); 
(3) localparam:
    概念:本module内有效的定义,不可用于参数传递;
               localparamcannot be used within the module port parameter list.
               一般情况下,状态机的参数都是用localparam的。

  1. localparam BURST_LEN               = 10'd64;     /*一次写操作数据长度 */  
  2.   
  3. localparam BURST_IDLE              = 3'd0;       /*状态机状态:空闲 */  
  4. localparam BURST_ONE_LINE_START    = 3'd1;       /*状态机状态:视频数据一行写开始 */  
  5. localparam BURSTING                = 3'd2;       /*状态机状态:正在处理一次ddr2写操作 */  
  6. localparam BURST_END               = 3'd3;       /*状态机状态:一次ddr2写操作完成*/  
  7. localparam BURST_ONE_LINE_END      = 3'd4;       /*状态机状态:视频数据一行写完成*/  
  8.   
  9. reg[2:0]  burst_state              = 3'd0;       /*状态机状态:当前状态 */  
  10. reg[2:0]  burst_state_next         = 3'd0;       /*状态机状态:下一个状态*/ 

猜你喜欢

转载自blog.csdn.net/yxswhy/article/details/80213570