Verilog语言中产生随机数的方法(转)

“$random函数调用时返回一个32位的随机数,它是一个带符号的整形数...”,并给出了一个例子:
_____________________________________________________
EX-1:

    reg[23:0] rand;
   rand=$random%60; //产生一个在 -59—59范围的随机数

—————————————————————————

又给出了一个产生0~59之间的随机数的例子:
   reg[23:0] rand;
   rand={$random} %60; //通过位拼接操作{}产生0—59范围的随机数
—————————————————————————
 
产生一个在min, max之间随机数的例子:
EX-3:
  reg[23:0] rand;
  rand = min+{$random}%(max-min+1);
—————————————————————————

猜你喜欢

转载自blog.csdn.net/yanchuan23/article/details/79689348