电子科技大学微处理器与嵌入式实验二

实验名称          SOC平台环境的搭建   

目录

实验目的

实验内容

实验步骤

实验结果

实验总结

实验思考题


  • 实验目的

了解SoC平台环境搭建的具体操作流程

学习Xilinx Vivado&SDK 2017.3工具的使用

熟悉SoC平台环境搭建过程和工作原理

  • 实验内容

以PS与PL协同设计实现GPIO为例,自行搭建SoC平台环境。

将FPGA当做一个PS处理器的外设,通过寄存器地址映射到PS的寻址空间。在处理器中使用C程序访问这些寄存器,来实现软件和逻辑结合的协同设计的效果。

具体步骤是先在VIVADO中配置ZYNQ处理器,做好FPGA的外设,互联完成之后生成BIT流文件下载到板子。在SDK环境下开发好软件之后,进行在线调试运行。

  • 实验步骤

打开桌面VIVADO 2017.3,点击Create Project创建新工程。为新工程命名,选择工程保存路径,点击Next。选择芯片xc7z020clg484-1,点击Next → Finish。点击Create Block Design,创建块设计,并命名

在右侧Diagram窗口空白处右击 → Add IP。搜索zynq,双击ZYNQ7 Processing System,添加zynq处理器,并点击Run Block Automation,勾选处理器→ 点击OK,会自动进行一些配置

再添加两个GPIO核,在Diagram窗口空白处右击 → Add IP → 搜索gpio → 双击。操作两次,添加两个AXI GPIO核,点击Run Connection Automation,勾选All Automation,点击OK,进行自动配置。自动连接之后在空白处右击选择Regenerate Layout,重新布局

双击ZYNQ处理器核,进行配置,更改DDR型号为MT41K256M16 RE-15E,将Bank1 I/O电平设为1.8V,勾选Uart1,用于通过串口查看程序打印信息

将GPIO IP核的名字和端口名称修改为LED和SW。双击LED IP核,配置LED为输出,GPIO Width设置为8,对应8个LED灯,点击OK。双击SW IP核,配置SW为输入,GPIO Width设置为8,对应8个拨码开关,点击OK

新建约束文件:右击Constraints → Add Sources → 点击Next---Create File,为文件命名,点击OK → Finish。根据EES331用户手册中LED和SW的管脚约束表,在PINS_SET.xdc中添加引脚与电平约束并保存

生成顶层文件:先右击system → Generate Output Products → Generate,再右击system → Create HDL Wrapper → OK。Vivado会为IP子系统生成一个顶层文件,以便对该系统进行综合、实现并生成比特流

工程配置完成,点击左下侧Generate Bitstream生成比特流,点击Yes → OK,等待比特流生成。若没有其他错误,比特流生成完成后直接关闭弹出的窗口或选择查看报告

硬件工程设计好之后,可在以下窗口中看到系统分配给外设的地址。接下来将硬件工程导出到SDK,在SDK中进行软件编译与运行(注:不要单独打开SDK,是在VIVADO中导出)。VIVADO -> File → Export Hardware Design to SDK,导出硬件到SDK,打钩,包括比特流,点击OK

打开SDK:File → Launch SDK → OK,进入SDK界面。在SDK中新建工程对LED和SW进行编程,实现相应功能。为新工程命名,并产生相应的BSP →  注意选Next → 选择空工程 →  Finish

在新建的工程中添加源文件,新建Source File或者Header File,为文件命名,注意要跟上文件类型.c或.h,点击Finish,在源文件中添加代码

硬件和软件设计好之后,保存工程,接下来调试和运行程序,运行之前先把板子的上所有拨码开关拨到下面。使用USB线连接PC机和开发板J3端口(JTAG/PS_UART),打开板子开关,在Vivado中点击左下角Open Hardware Manager → Open target → Auto Connection

若连接不上板子

1. 重启板子或电脑:开关断开或按下板子上的复位按钮(LED和数码管旁边S3/POR(B5))

2. 杀进程:打开任务管理器——进程——hw_server.exe

下载比特流:点击Program device,在弹出的窗口中找到工程的比特流,比特流文件在工程中的路径为:project_name/project_name.runs/impl_1/system_wrapper.bit,如下图,点击Program下载比特流到板子。

若运行程序,则右击工程——Run As  ——Launch on Hardware(GDB)

若调试程序,则右击工程——Debug As——Launch on Hardware(GDB)

查看串口打印:使用桌面串口工具Putty,设置波特率和端口号。

端口号查看方式:右击桌面电脑/计算机 → 管理 → 设备管理器 → 端口

若工程正确,可看到8个LED灯循环闪烁,向上拨动任意一个拨码开关时,流水灯运行到最后一个LD7时停止。

  • 实验结果

流水灯的实验结果:

实验结果分析:第一张图片代表流水灯依次亮起,第二张图代表流水灯每隔着一个灯亮起。

第三张图代表实验中修改的代码片段。

在将流水灯的代码ledbit++改为ledbit=ledbit+2,就可以实现流水灯每间隔一个小灯闪烁一下。

相关原理涉及:流水灯的关灯和开灯的节拍。

  • 实验总结

在实验中详细了解了相关软件和硬件的使用,在工程生成比特流之后的操作中遇到了一些问题,后来发现是SDK中的C的代码中,下面多出了文字,之后删除后就能正常进行项目和实验了。

同时在实验当中,认识到了软件设计和硬件设计之间的关系,学会了如何简易搭建一个soc平台和了解了相关的实验原理。

  • 实验思考题

1.ZYNQ 7000中提供了哪几种实现GPIO的方式,这几种之间有什么区别与联系?

第一种PS的MIO实现的GPIO

MIO实现的GPIO 需要在做PCB板卡之前就对功能有所限制:

第二种PS的EMIO实现GPIO

EMIO实现GPIO也使用PS,但是有FPGA的灵活

第三种IP方式实现GPIO

MIO和EMIO方式是使用PS部分的GPIO模块来实现GPIO功能的,

支持54个MIO(可输出三态)、64个输入和128个输出(64个输出

和64个输出使能)EMIO

而IP方式是在PL部分实现GPIO功能,PS部分通过MAXIGP接

口来控制该GPIO IP模块:另外EMIO模块虽然使用PS部分GPIO

但也使用了 PL 部分的管脚资源

2.Create Block Design过程中,添加IP核之后不点击Run Block Automation和Run Connection Automation,尝试自己连接线,理解其工作原理,并叙述。

自己连接线,需要确定正确的端口,并且要确定好正确的频率,连接成功后就能下载bit流到开发板。

猜你喜欢

转载自blog.csdn.net/weixin_53284122/article/details/129261639