FPGA验证学习(六): SoC的小小总结

一、SoC设计方法学的核心技术

SoC设计方法学主要研究总线架构技术IP核可复用技术软硬件协同设计技术、SoC验证技术、可测性设计技术、低功耗设计技术、超深亚微米电路实现技术等,此外还要进行嵌入式软件移植、开发研究,是一门跨学科的新兴研究领域。

1.总线架构技术

总线架构及互连技术直接影响芯片的总体性能。对于单一应用领域,可选用成熟的总线架构;对于系列化或综合性能要求很高的系统,可进行深入的体系结构研究,构建颇具特色的总架构,做精做强,不受制于第三方,且更具竞争力。目前SoC主要开发研制基于平台、基于核、基于合成及自主构建的总体架构。

2.IP核可复用技术

所谓设计复用,实际上包含两个方面的内容,涉及设计资料复用技术和如何生成可被他人复用的设计资料。前者通常被称为IP复用(IP Reuse),因为可以被反复使用的设计资料通常具备比较复杂的功能且经过验证。后者则涉及如何去生成IP核。IP核一般分为硬核、软核和固核三种,硬核是指经过预先布局且不能由系统设计者修改的IP;软核通常以HDL语言形式提交;固核由RTL的描述和可综合的网表组成。IP核应有良好的开发文档和参考手册,包括数据手册、用户使用指南、仿真和复用模型等。

3.软硬件协同设计技术

软硬件协同设计技术应包括软硬件协同说明、协同分析、协同设计、协同模拟和协同验证,可大大减少硬件设计风险,缩短嵌入式软件的开发调试时间,同时在协同验证环境中能够及时发现软硬件中所存在的致命问题,避免在最后集成测试阶段重新进行软硬件的调整。

面向SoC的软硬件协同设计理论应该从一个给定的系统任务描述着手。

  • 第一,是系统的描述方法。
  • 第二,是这一全新的设计理论与已有的集成电路设计理论之间的接口。可以预见,这种全新的设计理论应该是现有集成电路设计理论的完善,是建立在现有理论之上的一个更高层次的设计理论,它与现有理论一起组成了更为完善的理论体系。在这种假设下,这种设计理论的输出就应该是现有理论的输入。
  • 第三,这种全新的软硬件协同设计理论将如何确定最优性原则。显然,沿用以往的最优性准则是不够的。除了芯片设计师们已经熟知的速度、面积等硬件优化指标外,与软件相关的,如代码长度、资源利用率、稳定性等指标也必须由设计者认真地加以考虑。
  • 第四,如何对这样的一个包含软件和硬件的系统的功能进行验证。除了验证所必需的环境之外,确认设计错误发生的地方和机理将是一个不得不面对的课题。
  • 第五,是功耗问题。传统的集成电路在功耗的分析和估计方面已有一整套理论和方法。

但是,要用这些现成的理论来分析和估计含有软件和硬件两部分的SoC将是远远不够的。简单地对一个硬件设计进行功耗分析是可以的,但是由软件运行引起的动态功耗则只能通过软硬件的联合运行才能知道。其实,还可以举出很多新理论要涉及的问题,它们一起构成了面向SoC的软硬件协同设计的内容。

4.SoC验证技术

SoC验证主要分IP核验证和系统级验证,如设计概念验证、设计实现验证、设计性能验证、故障模拟、芯片测试等;从验证类型分,有兼容性测试、边角测试、随机测试、真实码测试、Regression测试等。由于芯片越来越复杂,软件仿真开销大,因此硬件仿真验证是一种重要的验证手段,整个验证工作约占整个设计工作的70%。

5.可测性设计技术

主要研究解决批生产可测性问题和在线可调试性问题,实施技术包括DFT、SCAN、BIST、Iddq、JTAG/eJTAG等,更重要的是要考虑测试平行化,降低芯片测试占用的时间。

6.低功耗设计技术

系统级芯片因为在百万门以上的集成度和数百兆赫时钟频率下工作,将有数十瓦乃至上百瓦的功耗。巨大的功耗给使用封装以及可靠性方面都带来问题,因此降低功耗的设计是系统级芯片设计的必然要求。低功耗已经成为与面积和性能同等重要的设计目标,同时也面临精确评估功耗的问题。芯片功耗主要由跳变功耗、短路功耗和泄漏功耗组成,研究多电压技术、功耗管理技术以及软件(算法)低功耗利用。设计中应从多方面着手降低芯片功耗。

① 在系统设计方面,降低工作电压是一方面,但太低的工作电压将影响系统性能。比较成熟的方法是采用空闲(Idle)模式和低功耗模式,在没有什么任务的情况下使系统处于等待状态或处于低电压、低时钟频率的低功耗模式。采用可编程电源是获取高性能低功耗的有效方法。

② 在电路组态结构方面尽可能少地采用传统的互补式电路结构,因为互补电路结构每个门输入端具有一对PMOS、NMOS管,形成较大的容性负载,CMOS电路在工作时对负载电容开关充放电功耗占整个功耗的70% 以上,因此深亚微米的电路结构组态多选择低负载电容的电路结构组态,如开关逻辑、Domino逻辑以及NP逻辑,使速度和功耗得到较好的优化。

③ 低功耗的逻辑设计,一个数百兆赫频率的工作系统不可能任何时候都是在几百兆赫频率下工作的,对于电路中那些速度不高或驱动能力不大的部位可采用低功耗的门以降低系统功耗。因此在逻辑综合时就将低功耗优化设计加进去,在满足电路工作速度的前题下,尽可能用低功耗的单元电路。

④ 采用低功耗电路设计技术,MOS输出电路几乎都采用一对互补的PMOS、NMOS管,在开关过程中,在瞬间存在两个器件同时导通,造成很大功耗,系统级芯片引脚多,电路频率高,这一现象更严重,因此在电路设计时应尽可能避免这一问题的出现以降低功耗。

7.超深亚微米集成电路实现技术

在超深亚微米集成电路设计技术的研究中,除了要克服由于连线延迟引起的设计迭代之外,设计人员还要克服由于晶体管数急剧增加、特征尺寸缩小、信号延迟变小、密度不断加大、IP可复用频度高带来的所谓信号完整性、时序收敛性、天线效应等问题。在芯片内部工作频率提高的同时,由于集成度的大幅度上升,单个芯片中的连线长度也随之大幅度升高。考虑到集成电路芯片内部连线密布,在很高的工作频率下信号的干扰将成为一个不容忽视的问题,信号的完整性将成为设计者面对的另外一个挑战。

所以,传统的基于布尔代数的数字集成电路设计理论,必须从简单的面向逻辑转向吸引其他相关领域的理论,形成新的理论体系。

8.嵌入式软件移植、开发

研究的重点是SoC的BIOS和嵌入式操作系统的移植、开发,要支持多任务,使应用程序分解成多个任务,程序开发变得更加容易,系统的稳定性、可靠性会得到提高,也便于维护,易读易懂,具有安全性好、健壮性强、代码执行效率高等特点。

例如,对SoC片内进行嵌入式Linux操作系统代码的植入研究,可减轻系统开发者对BSP开发的难度要求,同时提高开发效率、缩短开发周期。

二、设计工具带来的问题

SoC确实有着巨大的市场潜力,但关键的制约因素是有效的设计工具。目前EDA工具明显滞后于芯片加工能力,如图9-12所示。尤其在0.18 μm工艺实现后,这种差距变得更大,正是由于这个原因设计工程师不能有效地发挥芯片加工设备的能力。

在这里插入图片描述
现有EDA工具存在的主要问题如下:

① 不能有效地处理深亚微米物理效应。当线宽到深亚微米时,一些在宽线条时不存在的物理现象就会发生,而现有工具不能精确地给出设计工具和芯片加工能力的比较时延、功耗、面积、可布性等,不精确的仿真模型导致大量的迭代。宽线条时只基于互连的统计模型就可以准确地给出时延、功耗、面积、可布性等,逻辑设计和物理设计是独立的,但到深亚微米,逻辑设计则必须结合物理特性才能精确给出时延、功耗、可布性、面积等,互连线变成时延和功耗的主要角色。

② 不能有效地对高复杂度的SoC进行仿真验证。不管是功能级、逻辑级还是门级,仿真验证是一个电路设计必不可少的,但随着复杂度的提高,仿真、验证一个设计要花费不切实际的时间,甚至根本无法完成全面的仿真验证,因此有的设计者缩小电路的仿真范围或不进行详细仿真,这往往会导致设计失效。

产生设计和工艺差距的主要原因是EDA厂商缺乏对深亚微米和SoC设计工具的研究和开发。由于SoC的设计不同于传统的IC设计,它需要一些新的方法和思路,在现有的EDA产品基础上的改进提高已无法满足SoC的设计要求。如超过100万门的复杂逻辑,门级设计已不可能,SoC产品必须通过IP核和系统集成来设计实现,图9-13是ASIC和SoC设计流程。

在这里插入图片描述

因此,有些大的IC制造商(如LSIlogic、VLSI、IBM等)都在开发自己的设计工具。实际上,开发新的工具对芯片制造商是非常困难的,需要和主要的EDA供应商(如Cadence、Synopsys等)保持合作。目前各主要EDA工具供应商(如Synopsys、Cadence、Avant等)都在加紧适用于SoC设计工具的开发,而且取得了一定成果。他们都把能否开发出高效、实用的SoC EDA工具看做下一步是否能占领市场的关键。由于SoC的高复杂度,已迫使SoC的设计转向建立在IP核基础上的系统级仿真及软硬件联合仿真,同时建立大量的可重复使用的高性能核。另外,低功耗设计、可测性设计、电源设计、封装设计等都需要在设计工具中重新考虑。

三、资金问题

SoC技术已经成为当今超大规模集成电路的发展趋势,是21世纪集成电路技术的主流,为集成电路产业提供了前所未有的广阔市场和难得的发展机遇。SoC系统将原来由许多芯片完成的功能集中到一块芯片中完成。但SoC不是各个芯片功能的简单叠加,而是从整个系统的功能和性能出发,用软硬结合的设计和验证方法,利用IP复用及深亚微米技术,在一个芯片上实现复杂的功能

SoC技术将为高技术公司设计更加复杂的产品提供高效的解决方案,缩短微电子产品的上市时间。但是,SoC设计技术给传统的集成电路设计技术提出了挑战,给IC设计带来了一场新的思想和设计方法上的革命。如何将一个好的想法变为成功的SoC芯片,何种设计流程是较好的SoC芯片设计流程,在设计过程中如何得到帮助以弥补自己的不足,使芯片尽快上市,这一系列问题不断困扰着大家。

同时由于SoC设计项目资金投入起点高,SoC设计一直被戏称为“富人游戏”,国内近年来刚刚发展起来的IC设计企业如果没有很强的资金支持,很难进入SoC设计领域。另一方面,SoC设计的技术门槛相对较高。目前主流的SoC设计都采用深亚微米工艺来实现,芯片的规模较大,功能复杂,设计过程中的仿真验证工作也需要完整成熟的验证方案与验证技术来确保芯片在流片前的正确性。由于上述各个方面的原因,国内中小IC企业很难进入SoC设计领域,从而阻碍了国内IC设计业的整体发展。

为了改变这种局面,上海集成电路设计研究中心(ICC)通过政府的支持和投入来推动国内SoC设计的发展。经过洽谈,ICC终于和英国ARM公司达成协议,获得ARM7TDMI处理器和ARM 926EJ-S处理器的授权。现在,上海集成电路设计研究中心已经获得英国ARM公司的同意,以孵化器的模式向中国企业授权ARM7TDMI处理器和ARM 926EJ-S处理器,该模式将帮助国内企业和大学低成本、低风险地进入以ARM为核心的SoC芯片设计领域。

五、SoC的发展趋势

1-SoPC

以往的SoC设计依赖于固定的ASIC,其设计方法通常采用全定制和半定制电路设计方法,设计完成后如果不能满足要求,经常需要重新设计再进行验证,这将导致开发周期变长,开发成本增加。

另外,如果要对固定ASIC的设计进行修改、升级,也将花费昂贵的代价。与ASIC比较,可编程逻辑器件(PLD)的设计要灵活得多,它不仅开发周期较短,而且规模效应具有成本优势。因此,著名的可编程逻辑器件生产厂家美国Altera公司提出了基于PLD的SoC设计方案——SoPC(System on a Programmable Chip),片上可编程系统)。

SoPC是SoC技术和可编程逻辑技术结合的产物,是一种特殊的嵌入式系统。首先,它是SoC,即可以由单个芯片完成整个系统的主要逻辑功能;其次,它还是可编程系统,具有灵活的设计方式,可裁剪、可扩充、可升级,并具备一定的系统可编程功能。

SoPC设计技术涵盖了嵌入式系统设计技术的全部内容,包括以处理器和实时多任务操作系统(RTOS)为中心的软件设计技术、以PCB和信号分析为基础的高速电路设计技术、软硬件协同设计技术。

SoPC结合了SoC、PLD和FPGA各自的优点,主要特点如下:

  • ● 至少包含一个嵌入式处理器内核;
  • ● 具有小容量片内高速RAM资源;
  • ● 丰富的IT Core资源可供选择;
  • ● 足够的片上可编程逻辑资源;
  • ● 处理器调试接口和FPGA编程接口;
  • ● 可能包含部分可编程模拟电路;
  • ● 单芯片、低功耗、微封装。

目前,0.13 μm的ASIC产品制造价格仍然相当昂贵。相反,集成了硬核或软核CPU、DSP存储器、外围I/O及可编程逻辑的SoPC芯片在应用的灵活性和价格上有很大的优势。

2-SoC发展的市场前景

SoC技术的一大发展趋势是基于SoC开发平台,分享IP核开发与系统集成成果,不断重整价值链,在关注面积、延迟、功耗的基础上,向成品率、可靠性、EMI噪声、成本、易用性等转移,使系统级集成能力快速提高。

SoC将引领新一代嵌入式处理器的技术发展,以嵌入式系统应用为核心,集软硬件于一体,并在系统集成中追求产品系统最大包容性,能成功实现多学科的协作与融合。SoC设计技术为计算机专业人才介入IC设计领域提供了一个机会。不仅在SoC芯片设计上需要较强的计算机体系结构背景知识,而且SoC突出了软件开发的比重,需要计算机专业人士的介入,需要提供良好的开发平台和嵌入式操作系统。

SoC在中低端方面主要面向嵌入式应用,将不断满足日趋增长的功能密度、灵活的网络连接、轻便的移动应用和多媒体的信息处理等需求。SoC需具备各种各样的接口,如LCD、USB、CAN、MAC/WLAN或IrDA通信接口等,同时也需要提供相应的通信组网协议软件和物理层驱动软件,甚至浏览器(如HTML、WML等),不断满足新领域的发展需求。

SoC在中高端方面将取代传统意义上的CPU,向系统性能更好、功耗更小、成本更低、可靠性更高、开发更容易的方向发展,将满足人们以GUI屏幕为中心的多媒体界面与信息终端交互需求,如手写文字输入、语音拨号上网、收发电子邮件、传送彩色图形/图像及语言同声翻译等。SoC将具有32位、64位RISC芯片或信号处理器DSP等增强处理能力,同时支持嵌入式RTOS发展,采用实时多任务编程技术和交叉开发工具技术来控制功能复杂性,简化应用程序设计,保障软件质量,缩短开发周期。

1.2007年SoC已经占据IC市场的20%

世界芯片复杂度的年增长为58%,而IC设计能力的年增长仅为20%。由此看出,世界集成电路设计能力的增长远远跟不上芯片复杂度增长的速度,这为集成电路设计产业提供了难得的发展机会。面对集成电路向SoC的转型,我国实现集成电路设计业跨越的一个历史机遇正在来临。许多专家建议,我国应优先发展芯片设计业,特别重视SoC提供的发展机会。

相信在未来的3~5年内,高端嵌入式处理器将以SoC的发展为代表,成为各相关学科的交汇点。在SoC相关学科领域中,势必吸收与培养其他学科领域人才,如光、机、电等学科,不断改善SoC研究队伍组织结构,加强跨学科的SoC综合技术研讨,积极沟通观念、信息与技术,以培养SoC的跨学科高级人才。只有通过跨学科的相互交融,从战略高度培养SoC复合型人才,才能促使SoC设计技术产生质的飞跃。我国IC设计人员通过近几年的积极努力,SoC开发、研究基础已完全具备,面向国内大市场,只要整合好资源优势,我国芯片产业的发展水平不仅可向世界看齐,而且有可能引领高端芯片设计的发展方向。SoC必将导致又一次以系统芯片为特色的信息技术革命,21世纪初期将是SoC技术真正快速发展的时期。

参考内容:《32位嵌入式系统与SoC设计导论》

后面就回归正题看看我们的FPGA原型验证是个什么,处在什么整个芯片设计的什么位置?

猜你喜欢

转载自blog.csdn.net/weixin_45264425/article/details/129786591