【FPGA教程案例26】在FPGA中通过verilog来实现小数的基础运算

FPGA教程目录

MATLAB教程目录

---------------------------------------------------------------------------------------

1.软件版本

vivado2019.2

2.本算法理论知识

        在FPGA中,数据都是以二进制形式存在的,那么如何通过verilog来实现小数运算呢?在本课程中,将介绍小数在FPGA的计算方式。以加法和乘法为案例对小数运算进行介绍。我们假设一个二进制数据的位宽为24位,使用高8位来表示小数的整数部分,低16位来表示小数的小数部分。如下图所示:

其中,小数部分位宽为16bit,那么小数可以通过二进制来表示,例如:

24'b00000000_11111111_11111111可以表示为小数0.9999。

24'b00000001_00000000_00000000表示为为整数1。

24'b00000011_0010

猜你喜欢

转载自blog.csdn.net/ccsss22/article/details/125827476