【COSTAS环】基于FPGA的costas环载波同步的Verilog实现

1.软件版本

ISE14.7

2.本算法理论知识

本系统,采用的costas环结构如下图所示:

        这里,我们建设通过匹配滤波器以后的信号为I,Q, ,由于频率偏移和相位偏移的影响,其表达式一般为:

    

       其中为发送端载波和本地载波之间由于频差和相差产生的相角差值。QPSK解调器中相位检测器输出信号为:

猜你喜欢

转载自blog.csdn.net/ccsss22/article/details/125026374