modelsim do文件

首先了解一下Modelsim仿真!
1.创建一个工程和工程库2.加载设计文件(功能模块和Tb模块)3编译源文件4.运行仿真,并查看结果
do文件的作用就是把上述的步骤①—④用tcl脚本语言来编写出来,让Modelsim 来运行该do文件宏命令,并自动执行仿真的步骤。这种好处也许在小设计中没怎么表现,但是如果在一个大的工程中,常常需要对一个设计单元进行反复的调试和仿真,但是仿真时的设置是不变的,这时如果使用了do文件,把仿真中使用到的命令都保存下来了,就可以节省大量的人力,提高了工作效率。

ModelSim的tcl最大的优势就在于它可以让整个仿真自动运行,免除每次进行各种用户界面控制操作的麻烦。用tcl就可以自动完成建库、映射库到物理目录、编译源代码、启动仿真器、运行仿真等一系列操作。
执行Tcl(.do文件)   do name.do
编译vlog        iic_write.v
启动仿真vsim      tb_iic_write.v
将信号加入wave     add wave tb_iic_write *
执行仿真        run 2000000/-all
退出仿真        quit -sim
在这里插入图片描述

do文件编写完成!下一步:在Modelsim中,将工作目录切换到功能模块所在目录下,然后在Modelsim的File窗口中的Change Directory选项中切换工作目录。
调用do文件
在Modelsim的Transcript窗口中的命令行输入:do test.do命令即可。
转载自https://mp.weixin.qq.com/s/YI6xUva8nVpLkBM_gPMM0w

发布了56 篇原创文章 · 获赞 12 · 访问量 7849

猜你喜欢

转载自blog.csdn.net/weixin_44884357/article/details/104439418