Verilog读取波形文件数据

Verilog读取波形文件数据

在这里插入图片描述
在这里插入图片描述

2、编写testbench测试文件,将测试数据用文件任务打开。代码如下

`timescale 1 ps/ 1 ps
module sin_tb();
 
reg clk;
reg [7:0] data_in;
reg rst;
                                            
wire [7:0]  data_out;
                       
sin i1 (
 .clk(clk),
 .data_in(data_in),
 .data_out(data_out),
 .rst(rst)
);
integer i=0;
reg[7:0] data_men[0:255];
initial                                               
begin
 clk=1'b0;
// i=8'd0;
 rst=1'b1;
 #100 rst=1'b0;
 $readmemh("F:/modelsim_work/sin/sin.txt",data_men);
end

always #10 clk=~clk;

在这里插入图片描述

发布了32 篇原创文章 · 获赞 2 · 访问量 1511

猜你喜欢

转载自blog.csdn.net/qq_36248682/article/details/105300517