system verilog中randomize函数(一)

在system verilog中经常会对类做随机,但是有时候需要在随机之前或者随机之后做一些处理动作.它自带了两个函数方便我们做这些动作,即pre_randomize和post_randomize.
1.执行顺序
在随机时,这两个函数是自动执行的,不需要显示调用,执行顺序如下:
pre_randomize -> randomize -> post_randomize
2.注意事项
这两个函数为自带函数,不能使用virtual形式override.
3.示例说明
在示例中pre_randomize设置了m_test_data随机的上限,post_randomize对随机值做后续处理变成偶数,执行顺序也如上面所说.
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

发布了22 篇原创文章 · 获赞 3 · 访问量 750

猜你喜欢

转载自blog.csdn.net/weixin_39662684/article/details/105227933